为了正常的体验网站,请在浏览器设置里面开启Javascript功能!
首页 > 用51单片机完成等精度频率测量仪的设计毕业论文

用51单片机完成等精度频率测量仪的设计毕业论文

2019-01-20 20页 doc 967KB 30阅读

用户头像 个人认证

不系舟红枫

从教近30年,经验丰富,教学水平较高

举报
用51单片机完成等精度频率测量仪的设计毕业论文西安交通大学城市学院本科生毕业设计(论文) 摘要 摘 要 频率测量是电子学测量中最为基本的测量之一。频率计主要是由信号输入和放大电路、单片机模块、分频模块及显示电路模块组成。AT89C51单片机是频率计的控制核心,来完成它待测信号的计数,译码,显示以及对分频比的控制。利用它内部的定时/计数器完成待测信号频率的测量。在整个设计过程中,所制作的频率计采用外部分频,实现10Hz-500kHz的频率测量,而且可以实现量程自动切换流程。以AT89C51单片机为核心,通过单片机内部定时/计数器的门控时间,方便对频率计的测...
用51单片机完成等精度频率测量仪的设计毕业论文
西安交通大学城市学院本科生毕业设计(论文) 摘要 摘 要 频率测量是电子学测量中最为基本的测量之一。频率计主要是由信号输入和放大电路、单片机模块、分频模块及显示电路模块组成。AT89C51单片机是频率计的控制核心,来完成它待测信号的计数,译码,显示以及对分频比的控制。利用它内部的定时/计数器完成待测信号频率的测量。在整个设计过程中,所制作的频率计采用外部分频,实现10Hz-500kHz的频率测量,而且可以实现量程自动切换流程。以AT89C51单片机为核心,通过单片机内部定时/计数器的门控时间,方便对频率计的测量。其待测频率值使用LCD液晶显示器显示,并可以自动切换量程。本次采用单片机技术设计一种数字显示的频率计,具有测量准确度高,响应速度快,体积小等优点。 关键词:周期测频法,单片机控制,软硬件结合。 ABSTRACT Frequency measurement is the most basic measurement in Electronic field. Frequency meter is mainly composed of a signal input and an amplifying circuit, SCM module, frequency module and a display circuit module. AT89C51 MCU is the control core frequency of dollars to complete its count of the signal under test, decoding, display and control of the frequency division ratio. Using its internal timer or counter to complete the signal of the under test cycle / frequency of measurement. Throughout the design process, periodic measurement of the frequency meter application and the corresponding mathematical treatment to achieve 1Hz ~ 500 KHz frequency measurements, and can automatically switch the flow to achieve scale. To the core of AT89C51 micro-controller, with the MCU internal timer / counter gate time, it can be easier for frequency measurement. The use of microcomputer technology to design a digital display of frequency meter, have a measurement of high accuracy, fast response, small size and so on. KEYWORDS: The cycle frequency measurement, Single chip control, The combination of hardware and software. 目 录 71 绪 论 71.1 数字频率计简介 71.2 单片机系统的研究现状 21.3频率计的研究现状 31.4论文的主要工作和难点 52 等精度频率计的原理与应用 52.1 等精度频率计的原理 52.1.1 D触发器 52.1.2 等精度频率计测量的原理 62.2 等精度频率计的误差 72.3本章小结 93硬件电路设计 93.1单片机周边电路框图以及电路设计 103.2 51单片机及AT89C52介绍 103.2.1单片机简介 113.2.2 AT89C52简介 113.2.3 管脚说明 11AT89C52管脚图如图3-4所示。 133.2.4 AT89C52主要性能 133.3各部分电路图及电路工作原理分析 133.3.1时钟脉冲电路 143.3.2同步门逻辑控制电路 153.3.3静态显示电路 174软件设计 174.1 KEIL51软件简介 174.2软件的模块化设计及各部说明 174.2.1定时中断模块 184.2.3测量模块 184.2.4显示模块 184.3软件流程图 195 系统仿真与调试 195.1 Proteus软件简介 205.2 Proteus的电路仿真 225.3误差分析 35总 结 35致 谢 37参考文献 39附 录 391程序 1 绪 论 1.1 数字频率计简介 数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字,显示被测信号频率的数字测量仪器。它的基本功能是测量正弦信号,方波信号以及其他各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精度高,显示直观,所以经常要用到数字频率计。 若在一定时间间隔T内测得这个周期性信号的重复变化次数N,则其频率可表示为f=N/T。其中脉冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等于被测频率fx。时间基准信号发生器提供标准的时间脉冲信号,若其周期为1s,则门控电路的输出信号持续时间亦准确地等于1s。闸门电路由标准秒信号进行控制,当秒信号来到时,闸门开通,被测脉冲信号通过闸门送到计数译码显示电路。秒信号结束时闸门关闭,计数器停止计数。由于计数器计得的脉冲数N是在1秒时间内的累计数,所以被测频率fx=NHz。 本设计详细介绍了该系统的原理与构成,并以MCS-51系列单片机和数码显示电路为核心设计了系统硬件电路。硬件电路中,选用单片机为核心设计了系统的单片微机和计数器,并通过编程计数器实现对标准频率与被测频率进行同步检测计数,显示电路选择了六位显示,在制作上选择了6个74LC164和6个LED制作完成。单片微机完成对计数数据进行运算处理功能。通过相关资料运用proteus软件设计相应的等精度频率计电路原理图以及显示电路原理图。在软件设计中,在Keil51软件平台下编写了应用程序,采用模块化编程,使得所编程序具有可读性强,易于调试、修改方便的特点。论文中提到具体是将基于单片机控制的等精度频率计中的电路部分包括电源,同步门逻辑控制电路,显示电路等几部分。重点为同步门逻辑控制电路,同步门逻辑控制电路以单片机芯片AT89C52为核心,接复位电路和晶体振荡器,与D触发器相连,分别用于对标准频率脉冲和被测频率脉冲进行计数。标准频率信号由单片机AT89C52的石英晶体振荡器产生。D触发器用于控制计数的同步启动和停止。 本设计在深入讨论等精度频率测量原理的基础上设计出相应的由单片机控制的的等精度测量装置,运用了Proteus,KEIL51对电路的软硬件部分进行了设计。 1.2 单片机系统的研究现状 在我国,单片机的推广、普及、开发应用已经经过十多个年头,在此期间也涌现出了不少单片机的专家和技术成果,使我国在单片机技术应用方面获得了长足的进步。由于我国单片机发展起步晚,尽管单片机的品种很多,但是在我国使用较为广泛的是Intel公司的MCS-51单片机系列。近年来32位单片机已进入实用阶段。也向多功能、高性能、高速度、低电压、低功耗、低价格、外围电路内装化及片内存储容量增加的方向发展。 1.3频率计的研究现状 频率测量是电子学测量中最为基本的测量之一。由于频率信号抗干扰性强,易于传输,因此可以获得较高的测量精度。随着数字电子技术的发展,频率测量成为一项越来越普遍的工作,测频原理和测频方法的研究正受到越来越多的关注。 数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字显示被测信号频率的数字测量仪器。它的基本功能是测量正弦信号、方波信号及其他各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。传统的频率计采用测频法测量频率,通常由组合电路和时序电路等大量的硬件电路组成,产品不但体积大,运行速度慢而且测量低频信号不准确。本次采用单片机技术设计一种数字显示的频率计,测量准确度高,响应速度快,体积小等优点。 国际国内通用数字频率计的主要技术参数:1.频率测量范围:电子计数器的测频范围,低端大部分从10Hz开始;高端则以不同型号的频率计而异。因此高端频率是确定低、中、高速计数器的依据。如果装配相应型号的变频器,各种类型的数字频率计的测量上限频率,可扩展十倍甚至几十倍。2.周期测量范围:数字频率计最大的测量周期,一般为10s,可测周期的最小时间,依不同类型的频率计而定。对于低速通用计数器最小时间为1ys;对中速通用计数器可小到0.1ys。3.晶体振荡器的频率稳定度:是决定频率计测量误差的一个重要指标。可用频率准确度、日波动、时基稳定度、秒级频率稳定度等指标,来描述晶体振荡器的性能。4.输入灵敏度:输入灵敏度是指在侧频范围内能保证正常工作的最小输入电压。目前通用计数器一般都设计二个输入通道,即d通道和月通道。对于4通道来说,灵敏度大多为50mV。灵敏度高的数字频率计可达30mV、20mV。5.输入阻抗:输入阻抗由输入电阻和输入电容两部分组成。输入阻抗可分为高阻(1M//25PF、500k//30PF)和低阻(50)。一般说来,低速通用计数器应设计成高阻输入;中速通用计数器,测频范围最高端低于100MHz,仍设计为高阻输入;对于高速通用计数器,测频>100MHz, 设计成低阻 (50Q) 输入,测频<100MHz,设计成高阻(500k//30PF)输入。 1.4论文的主要工作和难点 论文研究设计了一套基于AT89C52单片机技术的等精度频率计,由标准频率信号和被测信号进行校准,实现等精度频率的测量。 设计要求: 频率测量 幅度:0.5V~5V 频率:1Hz~500kHz 测量误差≤0.5%。 主要工作如下: (1)学习理解单片机的原理及应用,掌握单片机的编程; (2)了解等精度的频率测量的工作原理; (3)proteus上进行画图; (4)编写软件; (5)系统调试,仿真。 2 等精度频率计的原理与应用 2.1 等精度频率计的原理 频率计的核心为单片机对数据的运算处理,而此等精度测量方法是以同步门逻辑控制电路为核心的。同步门逻辑控制电路由D触发器构成。 基本频率测量要求: 幅度:0.5V~5V 频率:1Hz~500kHz 测量误差≤0.5%。 2.1.1 D触发器 图2-1 如图2-1所示,此为所需D触发器74HC164物理形状,74HC164为十四管脚的芯片,同步门逻辑控制电路由D触发器来产生产生同步门信号。D触发器(74HC164)控制单片机对标准频率信号和被测信号的计数开始和停止。 2.1.2 等精度频率计测量的原理 等精度的测量原理是利用对被测信号和标频信号同时计数所经过的时间相同来讲计算被测信号的频率。等精度测量原理如图2-2所示。 SHAPE \* MERGEFORMAT 图2-2等精度测量原理图 当测量开始时,由被测信号的上升沿同时打开预置门和同步门启动两个计时器同时对被测频率信号和标准频率信号同时开始计数。到达预置时间TS后,预置门关闭,但两个计数器不停止计数,随后而至的被测信号的上升沿到来时,同步门关闭,两个计数器才同时停止计数。测得的计数值分别为N1,N2.输入到单片机里进行运算处理,由显示电路显示运算结果。 由测量原理可以知道: (1) 由此可以推出: (2) 2.2 等精度频率计的误差分析 设所测频率的准确值为fX。在一次测量中,由于f1计数的起停时间是由该信号的上升沿控制的,因此,在TS时间内对f1的计数N1无误差。在此时间内f2的计数N2最多相差一个脉冲,即︱△N1︱≤1,则下式成立: (3) 由此可分别推得: (4) 根据相对误差公式有: (5) 将式(2)和式(4)代人式(5)整理后可得: (6) 因为 : 所以 : (7) 即相对误差: EMBED Equation.3 (8) 其中: (9) 由上式可以得出结论:(1)相对误差 与被测信号频率无关;(2)增大 或提高 ,可以增大,从而减小测量误差,提高测量精度;(3)测量精度与预置门宽度和标准频率有关,与被测信号频率无关;(4)标准频率误差为 ,由于石英晶体的频率稳定度很高,标准频率误差很小。在系统时钟频率: , = . 由式(9)可以计算出不同 时的相对误差如图表2-1所示。 表2-1等精度频率计的相对误差: 同步门时间TS(s) 相对误差︱ ︱ 0.01 10ˉ4 0.1 10ˉ5 1 10ˉ6 10 10ˉ7 2.3本章小结 本章就等精度频率计原理进行了简单的阐述,主要介绍了等精度频率计所用到的元器件及相关知识,和等精度频率计的计量原理。以及对频率计的误差进行了分析。 3硬件电路设计 3.1单片机周边电路框图以及电路设计 在设计电路的过程中,涉及整体电路设计时,倘若能将整体电路分割为几部分别设计,就会使思路变得清晰,效率会大大提高。如图3-1所示,本系统被分为:同步门逻辑控制电路、AT89C52、时钟脉冲电路、显示电路。 被测信号 图3-1 单片机周边电路框图 在本系统中,等精度测量硬件电路需要一个标准频率信号。选择了单片机添加的晶振电路来产生。需要的启计信号和清零信号由单片机AT89C52来产生。具体电路设计如图2-1所示。 图3-2 单片机周边电路 3.2 51单片机及AT89C52介绍 3.2.1单片机简介 51单片机是对所有兼容Intel 8031指令系统的单片机的统称。该系列单片机的始祖是Intel的8031单片机,后来随着Flash rom技术的发展,8031单片机取得了长足的进展,成为应用最广泛的8位单片机之一,其代表型号是ATMEL公司的AT89系列,它广泛应用于工业测控系统之中。很多公司都有51系列的兼容机型推出,今后很长的一段时间内将占有大量市场。51单片机是基础入门的一个单片机,还是应用最广泛的一种。需要注意的是52系列的单片机一般不具备自编程能力。 当前常用的51系列单片机主要产品有: Intel的:80C31、80C51、87C51,80C32、80C52、87C52等; ATMEL的:89C51、89C52、89C2051等; Philips、华邦、Dallas、Siemens(Infineon)等公司的许多产品 国产宏晶STC单片机以其低功耗、廉价、稳定性能,占据着国内51单片机较大市场。 ·8位CPU·4kbytes程序存储器(ROM) (52为8K) ·128bytes的数据存储器(RAM) (52有256bytes的RAM) ·32条I/O口线·111条指令,大部分为单字节指令 ·21个专用寄存器 ·2个可编程定时/计数器·5个中断源,2个优先级(52有6个) ·一个全双工串行通信口 ·外部数据存储器 HYPERLINK "http://baike.baidu.com/view/2007755.htm"寻址空间为64kB ·外部程序存储器寻址空间为64kB ·逻辑操作位寻址功能·双列直插40PinDIP封装 ·单一+5V电源供电 CPU:由运算和控制逻辑组成,同时还包括中断系统和部分外部特殊功能寄存器; RAM:用以存放可以读写的数据,如运算的中间结果、最终结果以及欲显示的数据; ROM:用以存放程序、一些原始数据和表格; I/O口:四个8位并行I/O口,既可用作输入,也可用作输出; T/C:两个定时/记数器,既可以工作在定时模式,也可以工作在记数模式; 五个中断源的中断控制系统; 一个全双工UART(通用异步接收发送器)的串行I/O口,用于实现单片机之间或单片机与微机之间的串行通信; 片内振荡器和时钟产生电路,石英晶体和微调电容需要外接。最高振荡频率为12M。 3.2.2 AT89C52简介 AT89C52是51系列单片机的一个型号,它是ATMEL公司生产的。AT89C52是一个低电压,高性能CMOS 8位单片机,片内含8k bytes的可反复擦写的Flash只读程序存储器和256 bytes的随机存取数据存储器(RAM),器件采用ATMEL公司的高密度、非易失性存储技术生产,兼容标准MCS-51指令系统,片内置通用8位中央处理器和Flash存储单元,功能强大的AT89C52单片机可为您提供许多较复杂系统控制应用场合。   AT89C52有40个引脚,32个外部双向输入/输出(I/O)端口,同时内含2个外中断口,3个16位可编程定时计数器,2个全双工串行通信口,2个读写口线,AT89C52可以按照常规方法进行编程,也可以在线编程。其将通用的微处理器和Flash存储器结合在一起,特别是可反复擦写的Flash存储器可有效地降低开发成本。   AT89C52有PDIP、PQFP/TQFP及PLCC等三种封装形式,以适应不同产品的需求。 3.2.3 管脚说明 AT89C52管脚图如图3-4所示。 图3-4 AT89C52管脚图 VCC:供电电压。 GND:接地。 P0口:P0 口是一组8 位漏极开路型双向I/O 口, 也即地址/数据总线复用口。作为输出口用时,每位能吸收电流的方式驱动8 个TTL逻辑门电路,对端口P0 写“1”时,可作为高阻抗输入端用。在访问外部数据存储器或程序存储器时,这组口线分时转换地址(低8 位)和数据总线复用,在访问期间激活内部上拉电阻。在Flash编程时,P0 口接收指令字节,而在程序校验时,输出指令字节,校验时,要求外接上拉电阻。 P1口:P1 是一个带内部上拉电阻的8 位双向I/O 口, P1 的输出缓冲级可驱动(吸收或输出电流)4 个TTL 逻辑门电路。对端口写“1”,通过内部的上拉电阻把端口拉到高电平,此时可作输入口。作输入口使用时,因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出一个电流(IIL)。与AT89C51 不同之处是,P1.0 和P1.1 还可分别作为定时/计数器2 的外部计数输入(P1.0/T2)和输入(P1.1/T2EX), P2口:P2 是一个带有内部上拉电阻的8 位双向I/O 口,P2 的输出缓冲级可驱动(吸收或输出电流)4 个TTL 逻辑门电路。对端口P2 写“1”,通过内部的上拉电阻把端口拉到高电平,此时可作输入口,作输入口使用时,因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出一个电流(IIL)。在访问外部程序存储器或16 位地址的外部数据存储器(例如执行MOVX @DPTR 指令)时,P2 口送出高8 位地址数据。在访问8 位地址的外部数据存储器(如执行MOVX @RI 指令)时,P2 口输出P2锁存器的内容。Flash编程或校验时,P2亦接收高位地址和一些控制信号。 P3口:P3 口是一组带有内部上拉电阻的8 位双向I/O 口。P3 口输出缓冲级可驱动(吸收或输出电流)4 个TTL 逻辑门电路。对P3 口写入“1”时,它们被内部上拉电阻拉高并可作为输入端口。此时,被外部拉低的P3 口将用上拉电阻输出电流(IIL)。P3 口除了作为一般的I/O 口线外,更重要的用途是它的第二功能P3 口还接收一些用于Flash闪速存储器编程和程序校验的控制信号。 RST:复位输入。当振荡器工作时,RST引脚出现两个机器存储以上高电平将使单片机复位。 ALE/PROG:当访问外部程序存储器或数据存储器时,ALE(地址存储允许)输出脉冲用于锁存地址的低8 位字节。一般情况下,ALE 仍以时钟振荡频率的1/6 输出固定的脉冲信号,因此它可对外输出时钟或用于定时目的。要注意的是:每当访问外部数据存储器时将跳过一个ALE 脉冲。对Flash存储器编程期间,该引脚还用于输入编程脉冲(PROG)。如有必要,可通过对特殊功能存储器(SFR)区中的8EH 单元的D0 位置位,可禁止ALE 操作。该位置位后,只有一条MOVX 和MOVC指令才能将ALE 激活。此外,该引脚会被微弱拉高,单片机执行外部程序时,应设置ALE 禁止位无效。 PSEN:程序储存允许(PSEN)输出是外部程序存储器的读选通信号,当AT89C52 由外部程序存储器取指令(或数据)时,每个机器存储两次PSEN 有效,即输出两个脉冲。在此期间,当访问外部数据存储器,将跳过两次PSEN信号。 EA/VPP:外部访问允许。欲使CPU 仅访问外部程序存储(地址为0000H—FFFFH),EA 端必须保持低电平(接地)。需注意的是:如果加密位LB1 被编程,复位时内部会锁存EA端状态。如EA端为高电平(接Vcc端),CPU 则执行内部程序存储中的指令。Flash存储编程时,该引脚加上+12V 的编程允许电源Vpp,当然这必须是该器件是使用12V编程电压Vpp。 XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。 XTAL2:来自反向振荡器的输出。 3.2.4 AT89C52主要性能 1、兼容MCS51指令系统; 2、8kB可反复擦写(大于1000次)Flash ROM; 3、32个双向I/O口; 4、256x8bit内部RAM; 5、3个16位可编程定时/计数器中断; 6、时钟频率0-24MHz; 7、2个串行中断,可编程UART串行通道; 8、2个外部中断源,共8个中断源; 9、2个读写中断口线,3级加密位; 10、低功耗空闲和掉电模式,软件设置睡眠和唤醒功能; 11、有PDIP、PQFP、TQFP及PLCC等几种封装形式,以适应不同产品的需求。 3.3各部分电路图及电路工作原理分析 3.3.1时钟脉冲电路 时钟脉冲电路的主要作用是对外发出时序控制信号,在AT89C52芯片上,XTAL1和XTAL2分别为反向放大器的输入和输出,其中,当与本试验线路相同时,即使用内部时钟方式时,XTAL1和XTAL2必须外接石英晶体和微调电容,其中电容C1、C2对振荡频率起稳定的作用,振荡频率应在1.2MHz——12MHz。该反向放大器可以配置为片内振荡器。如采用外部时钟源驱动器件,XTAL2应不接。有余输入至内部时钟信号要通过一个二分频触发器,因此对外部时钟信号的脉宽无任何要求,但必须保证脉冲的高低电平要求的宽度。产生的时钟信号送至单片机内部的各个部分,时钟频率越高,单片机控制器的节拍越快,运算速度也越快。 时钟电路可以简单定义如下: 1.就是产生象时钟一样准确的振荡电路; 2.任何工作都按时间顺序。用于产生这个时间的电路就是时钟电路。 时钟电路一般由晶体振荡器、晶震控制芯片和电容组成。时钟电路应用十分广泛,如电脑的时钟电路、电子表的时钟电路以及MP3MP4的时钟电路。如图3-5所示。 图3-5 时钟方式 3.3.2同步门逻辑控制电路 同步门逻辑控制电路由D触发器构成,由它来产生同步门信号Ts。在测试开始后,利用单片机的P1.7作为预置门信号Ts的输出线。当P1.7=1时,在被测信号的上升沿作用下D触发器的输出Q=1,使得单片机的INT0和INT1同时为1,启动单片机内部的定时/计数器开始工作。其中,T0对被测信号的输出Q仍然为1,因此两个计数器并不停止计数,直到随后而至的待测信号的上升沿到来时,才使得D触发器的输出为0,同步门关闭,两个计数器才同时停止计数。如图3-6所示。 图3-6同步门逻辑控制电路 3.3.3静态显示电路 显示电路是六位数码管的静态显示电路。 (1)单片机系统扩展LED数码管时多用共阳LED:共阳数码管每个段笔画是用低电平(“0”)点亮的,要求驱动功率很小;而共阴数码管段笔画是用高电平(“0”)点亮的,要求驱动功率较大。通常每个段笔画要串一个数百欧姆的降压电阻。 (2)静态显示:各个数码管在现实过程中持续得到送显信号,与各数码管接口的I/O口线是专用的。 (3)动态显示:各个数码管在现实过程中轮流得到送显信号,与各数码管接口的I/O口线是共用的。 (4)静态电路显示特点:无闪烁,用元器件多,占I/O线多,无须扫描,节省CPU时间,编程简单。 (5)动态显示电路特点:有闪烁,用元器件少,占I/O线少,必须扫描,花费CPU时间,编程复杂。 共阴极共阳极数码管如图3-7所示。 图3-7 共阴极与共阳极数码管 4软件设计 4.1 KEIL51软件简介 Keil IDE(u Vision2)集成开发环境是Keil Software Inc/Keil Elektronik GmbH开发的基于MCS 8051内核的微处理器软件平台,内嵌多种符合当前工业标准的开发工具,可以完成从工程的建立和管理、编译、连接、目标代码的生成到软件仿真、硬件仿真等完整的开发流程。尤其是C语言编译工具在产生代码的准确性和效率方面达到了较高的水平,而且可以附加灵活的控制选项,在开发大型项目时是非常理想的工具。Keil 本身是一个纯软件工具,不能直接进行硬件仿真,必须挂接类似TKS系列仿真器的硬件才可以进行仿真。 4.2软件的模块化设计及各部说明 一个程序在编程以前,功能的分析和非常重要。在此基础上进行自上而下的模块化划分,这样可以缩短程序的编制周期,而且维护方便。还可以做成数据和操作分离的面向对象的模式,这样更加增强了程序的灵活性。 通过对软件功能的分析,基于单片机控制测量的恒精度频率计可以用4个模块来实现,如图4-1所示,它们分别是:定时中断模块,计数模块,测量模块,显示模块。这些模块的具体功能如下: 图4-1软件模块图 4.2.1定时中断模块 定时中断模块对预置门控制信号进行启动中断。在系统时钟频率f=12MHZ时,f0=f/12=1MHZ。对被测信号和标准频率信号的测量的时间进行开启和关闭,对预置门信号定时中断。 4.2.2计数模块 在闸门信号开启时对被测信号计数,闸门信号开启时间为1s,所以计数值即为被测信号的频率,计数值从0~999999,计数模块要求的输出为6位10进制数。计数模块的复位信号也是闸门信号,其复位电平与其它模块相反,低电平复位,所以在闸门闭合时,计数模块复位,准备下一次计数。当计数超过999999时给出溢出标志,计数模块输出"111111111111111111111111"。 4.2.3测量模块 对计数所得的数据进行测量计算。通过对被测信号和标准频率信号的周期测量,根据公式:f1/N1=f2/N2得到:f1= f2﹡N1/N2测得被测信号的实际频率。通过显示电路显示出来。 4.2.4显示模块 显示模块先将输入的6位十进制数的个位译码,加在七段数码管的段控制线上,在显示扫描时钟的作用下,选通个位上的数码管,个位上的数码管亮,其它数码管灭。然后输出十位上数码管要显示的内容,选通十位上的数码管。这样依次输出各位上的译码值,逐个选通数码管。由于扫描频率为1kHz,看起来不会有闪烁的感觉。 4.3软件流程图 系统软件的设计主要是保证和硬件电路相结合,正确地实现等精度测量。整个系统软件的设计采用了自顶向下的模块化的结构方式,将各个功能分成独立模块,由系统的监控程序统一管理执行。系统的软件程序框图如图4-2所示: 4-2软件程序框图 5 系统仿真与调试 5.1 Proteus软件简介 Proteus软件是英国Labcenter electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。 Proteus是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、AVR、ARM、8086和MSP430等,2010年又增加了Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。 资源丰富: 1.Proteus可提供的仿真元器件资源:仿真数字和模拟、交流和直流等数千种元器件,有30多个元件库。 2.Proteus可提供的仿真仪表资源 :示波器、逻辑分析仪、虚拟终端、SPI调试器、I2C调试器、信号发生器、模式发生器、交直流电压表、交直流电流表。理论上同一种仪器可以在一个电路中随意的调用。 3.除了现实存在的仪器外,Proteus还提供了一个图形显示功能,可以将线路上变化的信号,以图形的方式实时地显示出来,其作用与示波器相似,但功能更多。这些虚拟仪器仪表具有理想的参数指标,例如极高的输入阻抗、极低的输出阻抗。这些都尽可能减少了仪器对测量结果的影响。 4.Proteus可提供的调试手段 Proteus提供了比较丰富的测试信号用于电路的测试。这些测试信号包括模拟信号和数字信号。 编辑本段电路仿真: 在PROTEUS绘制好原理图后,调入已编译好的目标代码文件:*.HEX,可以在PROTEUS的原理图中看到模拟的实物运行状态和过程。 PROTEUS 是单片机课堂教学的先进助手。 PROTEUS不仅可将许多单片机实例功能形象化,也可将许多单片机实例运行过程形象化。前者可在相当程度上得到实物演示实验的效果,后者则是实物演示实验难以达到的效果。 它的元器件、连接线路等却和传统的单片机实验硬件高度对应。这在相当程度上替代了传统的单片机实验教学的功能,例:元器件选择、电路连接、电路检测、电路修改、软件调试、运行结果等。 课程设计、毕业设计是学生走向就业的重要实践环节。由于PROTEUS提供了实验室无法相比的大量的元器件库,提供了修改电路设计的灵活性、提供了实验室在数量、质量上难以相比的虚拟仪器、仪表,因而也提供了培养学生实践精神、创造精神的平台 随着科技的发展,“计算机仿真技术”已成为许多设计部门重要的前期设计手段。它具有设计灵活,结果、过程的统一的特点。可使设计时间大为缩短、耗资大为减少,也可降低工程制造的风险。相信在单片机开发应用中PROTEUS也能茯得愈来愈广泛的应用。 使用Proteus 软件进行单片机系统仿真设计,是虚拟仿真技术和计算机多媒体技术相结合的综合运用,有利于培养学生的电路设计能力及仿真软件的操作能力;在单片机课程设计和全国大学生电子设计竞赛中,我们使用 Proteus 开发环境对学生进行,在不需要硬件投入的条件下,学生普遍反映,对单片机的学习比单纯学习书本知识更容易接受,更容易提高。实践证明,在使用 Proteus 进行系统仿真开发成功之后再进行实际制作,能极大提高单片机系统设计效率。因此,Proteus 有较高的推广利用价值。 5.2 Proteus的电路仿真 电路图完成后,首先在KEIL软件中调程序,经过多次调试将程序中的错误和警告全部改正,并生成.hex文件,再运行程序,程序正确无误后开始做设计的仿真,首先在仿真软件proteus中画好电路图。等精度频率计的调试比较简单,在电平转换前的输入端输入标准的正弦信号,把编译好的程序指定到Proteus中的单片机中。运行Proteus即可在显示器中观测到显示结果。 课程设计、毕业设计是学生走向就业的重要实践环节。由于PROTEUS提供了实验室无法相比的大量的元器件库,提供了修改电路设计的灵活性、提供了实验室在数量、质量上难以相比的虚拟仪器、仪表,因而也提供了培养学生实践精神、创造精神的平台 使用Proteus软件进行单片机系统仿真设计,是虚拟仿真技术和计算机多媒体技术相结合的综合运用,有利于培养学生的电路设计能力及仿真软件的操作能力;在单片机课程设计和全国大学生电子设计竞赛中,我们使用 Proteus开发环境对学生进行培训,在不需要硬件投入的条件下,学生普遍反映,对单片机的学习比单纯学习书本知识更容易接受,更容易提高。实践证明,在使用 Proteus 进行系统仿真开发成功之后再进行实际制作,能极大提高单片机系统设计效率。因此,Proteus 有较高的推广利用价值。 显示结果如图5.1所示。 图5.1仿真演示图 当在proteus中的信号源处加10Hz时,仿真结果如下图所示: 图5.2 仿真结果演示图 当在proteus中的信号源处加500KHz时,仿真结果如下图所示: 图5.3 仿真结果演示图 经软件的调试—修改—再调试,如此反复,排除各种故障最终基本完成了设计所要求的任务。由单片机内部定时器/计数器构成基本测量电路,外加整形和分频电路,由系统软件设计可以测出1HZ-500KHZ的量程范围,可以实现量程档的自动转换,使用的动态显示测量时会出现闪烁现象,但显示数值准确,稳定时显示不闪烁。 软件仿真测量数据如下表6.1所示。表5.3测量得到结果: 待测 10Hz 50Hz 100Hz 500Hz 1kHz 50kHz 实测 10HZ 50.5HZ 100HZ 501HZ 1.0KHZ 50.010KHZ 待测 100kHz 200kHz 300kHz 400kHz 500kHz 实测 100.018kHz 200.032kHz 300.025kHz 400.019kHz 499.420kHz 表5.3 5.3误差分析 经过分析,本次设计的频率计的误差来源主要有两部分,分频所带来的误差和单片机定时计数带来的误差。 分频误差:由于采用74HC164来作为分频电路,74HC164通过计数,将会把一部分信号脉冲给省略掉,所以因为分频必然会带来误差和精度降低。因此,在编写程序的,过程中,我尽可能的选择用分频少和没分频的信号来计数,实现频率的测量。 定时计数误差:因为定时和计数都是由单片机本身来完成的,在计数的时候会产生误差。这个误差的大小是用单片机的内部时钟决定的,采用高频率的晶振来为单片机提供内部时钟,则能减少此误差。本次设计我们用的是12MHz的晶振,而测频的范围是1Hz~500kHz。所以定时计数的误差在本系统基本可以忽略不计。 总 结 本设计所设计的频率计有有较高的精度,频率范围较高,有较强的实用价值。当然本设计只是基于各种理想的实验条件下得出的结论,设计当中不可避免的存在一些问题。例如在信号转换的电路中只是粗略的将正弦信号转换成方波信号,而没有对输出信号进行进一步的处理;在信号经过分频后也没有对信号进行处理,这将降低测量的精度。如果在以上两个地方加入相关的处理电路,系统的精度将得到进一步的提高。 毕业设计已经结束,通过这次设计,我受益匪浅。毕业设计是一次综合性的实践,它将各种知识结合到一起综合运用到实践上来扩展、弥补、串联所学的知识。通过本次毕业设计我得到了很多收获。首先,了解了单片机的基本知识和在控制领域的作用和地位。其次掌握了C语言的编写程序,学会了使用PROTUTES和KEIL的仿真来实现,同时掌握了如何收集、查阅、应用文献资料,如何根据实际需要有选择的阅读书籍和正确确定系统所要使用的元器件的类型。再次,在精神方面锻炼了思想、磨练了意志。面对存在的困难首先分析问题根据目的要求确定可实现的部分,定出那不准的方面找同学和老师讨论研究,再完善、再修改、再发现问题、再解决培养了自己的耐心、恒心及遇事不乱的精神。 总之,我明白了理论和实践之间存在的距离只有靠不断的思考不断的动手才能将所学的知识真正运用到实践上来。在毕业设计中我的很多方面的能力都得到了提高,尤其在单片机软件编程方面让我感触颇深。我个人认为软件设计是个即灵活又细腻的工作,它要求耐心和细心去不断完善,同时还需要有良好的逻辑思维能力。通过这次毕业设计,我分析问题和解决问题的能力有所提高,也巩固了所学的知识,加深了对理论知识的理解,更重要的是锻炼自己的独立性,为我今后的工作和学习打下坚实的基础。 不足及展望: 虽然投入了大量的时间和精力,由于课题涉及的知识比较全面,加上个人的能力有限,还有一些待解决的问题: (1)实际设计电路中存在一些细节问题没有注意到,电路板的设计,元器件的位置排放与实际情况略有差异,还需更深入理解。 (2)在程序编写方面还需要进一步完善。 由于自身对等精度频率的测量的理解还不是很成熟,所做的工作还很粗糙,希望将来能够改进! 致 谢 在论文完成之际,我的心情万分激动。从论文的选题、资料的收集到论文的撰写编排整个过程中,我得到了许多的热情帮助。 我首先要感谢老师,本课题在选题及研究过程中得到老师的悉心指导。金老师多次询问研究进程,并为我指点迷津,帮助我开拓研究思路,精心点拨、热忱鼓励。金老师花费大量了的时间和心血在我的论文上,才有我今天的定稿。还有在我大学四悉心教导我的老师们,是你们教会我很多有用的知识,特别是教会了我解决问题思考问题的方式和习惯,我在做论文的时候才能有自己的思想和规划,论文工作才有了目标和方向。在此我代表大家向所有老师表示最诚挚的谢意。 最后,感谢各位评审老师在百忙中抽出时间对论文进行审稿、参加答辩,并对加答辩会的老师和同学表示谢意。 参考文献 [1] 申忠如.《MCS-51单片机原理及系统设计》[M]..西安:西安交通大学出版社,2007年. [2] 杨栓科.《模拟电子技术》[M].西安:高等教育出版社,2003年. [3] 张克农.《数字电子技术》[M].西安:高等教育出版社,2003年4月. [4] 张超琦 钟明哲 卢世彬.《单片机原理及实例》[M].上海:上海交通大学出版社,2007 年. [5] 裴立云 朱静。《基于单片微机控制的等精度频率计设计制作》[N],电脑学习,2007年2月. [6] 朱运利.《单片机技术应用》[M]..北京:机械工业出版社,2005年. [7] 王晓明.《电动机的单片机控制》[M].辽宁:北京航天大学出版社,2007年8月. [8] 谈学.《基于单片机的等精度频率计设计》[N],重庆工商大学学报,2004年4月,第21卷第2期. [9] 于殿泓 王新年.《单片机原理与程序设计实验教程》[M].西安:西安电子科技大学出版社,2007年. [10] Micrel Inc. QwikRadio TM Low Power UHF Receiver[A]2001.8 [11] Micrel Inc. QwikRadio TM UHF ASK Transmitter[A] 2001.8 附 录 1程序 本程序采用等精度法测量频率,测频范围为1Hz~500KHz,当单片机的晶振频率为12MHz时,其能测量的频率最大为500KHz。可以对被测信号进行分频以达到扩展测量范围的目的。 同步门逻辑控制电路由D触发器构成,由它来产生同步门信号 。在测量开始后,利用单片机的P1.7作为预置门信号Ts的输出线。当P1.7=1时,在被测信号的上升沿作用下D触发器的输出Q=1,使得单片机的INT0和INT1同时为1,启动单片机内部的定时/计数器开始工作。其中,瓦对被测信号fx进行计数,T1对内部频标f0进行计数。当预置门时间到达Ts后,预置门关闭使得P1.7=0,但D触发器的输出Q仍然为1,因此两个计数器并不停止计数,直到随后而至的待测信号的上升沿到来时,才使得D触发器的输出Q=o,同步门关闭,两个计数器才同时停止计数。fx=(f0/N0)*Nx当单片机的晶振测频为12MHz时,f0=1MHz. 频标信号f0:单片机晶振频率的十二分之一即f0=1MHz,用T1对内部频标信号进行计数待测频率fx:最高可测500KHz,用T0对外部待测信号进行计数。 预置门时间Ts: 在预置门时间内输出预置门控制信号。预置门时间大约为1s,由T2定时器产生。 同步门时间Tc:同步门时间取决于预置门信号和待测信号。由D触发器输出的同步门控信号加给INT0和INT1, 由INT0和INT1控制T0和T1同步计数。 频标计数值N0:T1对机器周期的计数值。 待测信号的计数值Nx:T0对外部待测信号的计数值。 待测信号频率fx=(f0/N0)*Nx 程序: // 本程序采用等精度法测量频率,测频范围为1Hz~500KHz,当单片机的晶振频率为12MHz时,其能测量的频率最大为500KHz。 // 可以对被测信号进行分频以达到扩展测量范围的目的。 // 同步门逻辑控制电路由D触发器构成,由它来产生同步门信号 。在测量开始后,利用单片 // 机的P1.7作为预置门信号Ts的输出线。当P1.7=1时,在被测信号的上升沿作用下D触发器的输出Q=1, // 使得单片机的INT0和INT1同时为1,启动单片机内部的定时/计数器开始工作。其中,瓦对被测信号fx // 进行计数,T1对内部频标f0进行计数。当预置门时间到达Ts后,预置门关闭使得P1.7=0,但D触发器的 // 输出Q仍然为1,因此两个计数器并不停止计数,直到随后而至的待测信号的上升沿到来时,才使得D触 // 发器的输出Q=o,同步门关闭,两个计数器才同时停止计数。 // fx=(f0/N0)*Nx // 当单片机的晶振测频为12MHz时,f0=1MHz. // 频标信号f0:单片机晶振频率的十二分之一即f0=1MHz,用T1对内部频标信号进行计数 // 待测频率fx:最高可测500KHz,用T0对外部待测信号进行计数。 // 预置门时间Ts: 在预置门时间内输出预置门控制信号。预置门时间大约为1s,由T2定时器产生。 // 同步门时间Tc:同步门时间取决于预置门信号和待测信号。由D触发器输出的同步门控信号加给INT0和INT1, // 由INT0和INT1控制T0和T1同步计数。 // 频标计数值N0:T1对机器周期的计数值。 // 待测信号的计数值Nx:T0对外部待测信号的计数值。 // 待测信号频率fx=(f0/N0)*Nx // #include #include #include static float fx; //定义频率,浮点型 static float Nx; // static float N0; #define f 12 //单片机的晶振频率 #define time2 50000 //50毫秒定时 #define f0 1000000 //f0=1MHz #define uchar unsigned char #define uint unsigned int long int t=0; //高频测量时计脉冲的次数 int T1_overtimes=0; //定时/计数器1的溢出次数 int T0_overtimes=0; //定时/计数器0的溢出次数 int test=0; //用于一秒钟定时的辅助变量 unsigned char data time2_h,time2_l; //定时器2的高8位,低8位 unsigned int data time2_times; //将时间转化成计数的次数 unsigned char data display_buffer[6]; sbit Gate=P3^2; //被测信号的输入口 sbit Gate_ctl=P1^7; //预置门控制信号 sbit AB =P1^0; //74HC164的AB输入 sbit CLK=P1^1; //74HC164的CLK void process(void); void chuli(void); //数据处理,用于显示 void display(void); unsigned char get_code(unsigned char i); void uDelay(uchar Delay); void output(uchar i_data); void display_Hello(void); //dp,g,f,e,d,c,b,a // -a- // f| |b // -g- // e| |c // -d- .dp unsigned char code ledcode[]={ 0x3f,0x06,0x5b,0x4f, //0,1,2,3 0x66,0x6d,0x7d,0x07, //4,5,6,7 0x7f,0x6f,0x77,0x7c, //8,9,A,b 0x39,0x5e,0x79,0x71, //C,d,E,F 0x00,0x40,0x76,0x38}; // ,-,H,L 数码管段码表 //extern serial_initial(); main() { display_Hello(); uDelay(250); uDelay(250); uDelay(250); fx=0; ET1=1; //开定时器1中断 ET0=1; //开定时器0中断 EA=1; //cpu中断允许 TH1=TL1=0; //定时/计数器1初始化 TH0=TL0=0; //定时/计数器0初始化 TMOD=0x9D; //定时/计数器1,带门控位控制的16位定时器;定时/计数器0,带门控位控制的16位计数器 TR0=1; //启动定时/计数器0 TR1=1; //启动定时/计数器1 ET2=1; //定时/计数器2允许中断 time2_times=65536-time2*f/12; time2_h=time2_times/256; time2_l=time2_times%256; TH2=time2_h; //初始时间常数 Timer2 50ms TL2=time2_l; RCAP2H=time2_h; RCAP2L=time2_l; T2CON=0x00; //定时/计数器2作为16位自动重装载定时器 PT2=1; //定时器2中断为高优先级中断,T2用于预知门时间控制 TR2=1; //定时器2开始定时 //************************************************* while(1) { while(Gate); //等待Gate变低,Gate变低后T0和T1计数停止 N0=T1_overtimes*65536+TH1*256+TL1; Nx=T0_overtimes*65536+TH0*256+TL0; fx=(f0/N0)*Nx; chuli(); //以上为数据处理 display(); T1_overtimes=0; T0_overtimes=0; TH1=TL1=0; TH0=TL0=0; TR0=TR1=1; //以上为初始化,实现不停的刷新 while(!Gate); //等待Gate变高,启动下一次测量 } } ///**************************************************定时计数器0的中断服务程序 void time0_int(void) interrupt 1 { T0_overtimes++; //从0000H到ffffH,如果溢出的话,溢出次数加1; TH0=TL0=0; //赋初值再次计数 } //**********************************************定时/计数器1中断服务程序 void time1_int(void) interrupt 3 { T1_overtimes++; //从0000H到ffffH,如果溢出的话,溢出次数加1; TH1=TL1=0; //赋初值再次计数 } //**********************************************定时/计数器2中断服务程序 void counter2_int(void) interrupt 5 // T/C 2 中断服务程序 { TF2=0; // 必须软件清零 test++; TH2=time2_h; //初始时间常数 Timer2 50ms TL2=time2_l; if(test>20) //20*50ms 为一秒 { Gate_ctl=!Gate_ctl; test=0; } } //*****************************数据处理 void chuli(void) { display_buffer[0]=(uchar)((unsigned long int)(fx/100000)); if(display_buffer[0]==0) display_buffer[0]=0x10; display_buffer[1]=(uchar)((unsigned long int)fx%100000/10000); if((display_buffer[0]==0x10)&&(display_buffer[1]==0)) display_buffer[1]=0x10; display_buffer[2]=(uchar)((unsigned long int)fx%10000/1000); if((display_buffer[0]==0x10)&&(display_buffer[1]==0x10)&&(display_buffer[2]==0)) display_buffer[2]=0x10; display_buffer[3]=(uchar)((unsigned long int)fx%1000/100); if((display_buffer[0]==0x10)&&(display_buffer[1]==0x10)&&(display_buffer[2]==0x10)&&(display_buffer[3]==0)) display_buffer[3]=0x10; display_buffer[4]=(uchar)((unsigned long int)fx%100/10); if((display_buffer[0]==0x10)&&(display_buffer[1]==0x10)&&(display_buffer[2]==0x10)&&(display_buffer[3]==0x10)&&(display_buffer[4]==0)) display_buffer[4]=0x10; display_buffer[5]=(uchar)((unsigned long int)fx%10); } void uDelay(uchar Delay) { // 延时子程序 for(;Delay>0;Delay--); } void output(uchar i_data) { uchar i,j; j=ledcode[i_data]; for(i=0;i<8;i++) { AB=(bit)(j&0x80); CLK=0; CLK=1; j<<=1; } } void display(void) { uchar i; for(i=0;i<6;i++) { output(display_buffer[i]); } } void display_Hello(void) { output(18); output(14); output(19); output(19); output(0); output(17); } 2 附图 仿真电路图如附图1所示。 附图1 附图2 附图3 附图4 附图5 毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得 及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作 者 签 名:       日  期:        ​​​​​​​​​​​​ 指导教师签名:        日  期:        使用授权说明 本人完全了解 大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:        日  期:        ​​​​​​​​​​​​ 学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名: 日期: 年 月 日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权      大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名: 日期: 年 月 日 导师签名: 日期: 年 月 日 毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得 及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作 者 签 名:       日  期:        ​​​​​​​​​​​​ 指导教师签名:        日  期:        使用授权说明 本人完全了解 大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:        日  期:        ​​​​​​​​​​​​ 学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名: 日期: 年 月 日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权      大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名: 日期: 年 月 日 导师签名: 日期: 年 月 日 注 意 事 项 1.设计(论文)的内容包括: 1)封面(按教务处制定的标准封面格式制作) 2)原创性声明 3)中文摘要(300字左右)、关键词 4)外文摘要、关键词 5)目次页(附件不统一编入) 6)论文主体部分:引言(或绪论)、正文、结论 7)参考文献 8)致谢 9)附录(对论文支持必要时) 2.论文字数要求:理工类设计(论文)正文字数不少于1万字(不包括图纸、程序清单等),文科类论文正文字数不少于1.2万字。 3.附件包括:任务书、开题报告、外文译文、译文原文(复印件)。 4.文字、图表要求: 1)文字通顺,语言流畅,书写字迹工整,打印字体及大小符合要求,无错别字,不准请他人代写 2)工程设计类题目的图纸,要求部分用尺规绘制,部分用计算机绘制,所有图纸应符合国家技术标准。图表整洁,布局合理,文字注释必须使用工程字书写,不准用徒手画 3)毕业论文须用A4单面打印,论文50页以上的双面打印 4)图表应绘制于无格子的页面上 5)软件工程类课题应有程序清单,并提供电子文档 5.装订顺序 1)设计(论文) 2)附件:按照任务书、开题报告、外文译文、译文原文(复印件)次序装订 3)其它 P1.7 INT0 INT1 T0 显示电路 D Q 主程序 定时中断模块 计数 模块 测量 模块 显示 模块 开始 开中断 初始化定时/计数器 启动定时/计数器 等待Gate变低 计数停止 数据处理计算 显示 等待Gate变高 CLK C L K D A T A DATA XTAL2 18 XTAL1 19 ALE 30 EA 31 PSEN 29 RST 9 P0.0/AD0 39 P0.1/AD1 38 P0.2/AD2 37 P0.3/AD3 36 P0.4/AD4 35 P0.5/AD5 34 P0.6/AD6 33 P0.7/AD7 32 P1.0/T2 1 P1.1/T2EX 2 P1.2 3 P1.3 4 P1.4 5 P1.5 6 P1.6 7 P1.7 8 P3.0/RXD 10 P3.1/TXD 11 P3.2/INT0 12 P3.3/INT1 13 P3.4/T0 14 P3.7/RD 17 P3.6/WR 16 P3.5/T1 15 P2.7/A15 28 P2.0/A8 21 P2.1/A9 22 P2.2/A10 23 P2.3/A11 24 P2.4/A12 25 P2.5/A13 26 P2.6/A14 27 U1 AT89C52 X1 12MHz C1 30pF C2 30pF R1 10k C3 1nF +5V +5V R2 200 D1 LED-RED U8:A(CLK) A B C D S R G 8 R C 1 / - > & 1 D 1 3 2 4 5 6 1 0 8 1 1 1 2 9 1 3 U2 74HC164 S R G 8 R C 1 / - > & 1 D 1 3 2 4 5 6 1 0 8 1 1 1 2 9 1 3 U3 74HC164 S R G 8 R C 1 / - > & 1 D 1 3 2 4 5 6 1 0 8 1 1 1 2 9 1 3 U4 74HC164 S R G 8 R C 1 / - > & 1 D 1 3 2 4 5 6 1 0 8 1 1 1 2 9 1 3 U5 74HC164 S R G 8 R C 1 / - > & 1 D 1 3 2 4 5 6 1 0 8 1 1 1 2 9 1 3 U6 74HC164 S R G 8 R C 1 / - > & 1 D 1 3 2 4 5 6 1 0 8 1 1 1 2 9 1 3 U7 74HC164 R3 120 R4 120 R5 120 R6 120 R7 120 R8 120 R9 120 R10 120 R11 120 R12 120 R13 120 R14 120 R15 120 R16 120 R17 120 R18 120 +5V R19 120 R20 120 R21 120 R22 120 R23 120 R24 120 R25 120 R26 120 R27 120 R28 120 R29 120 R30 120 R31 120 R32 120 R33 120 R34 120 R35 120 R36 120 R37 120 R38 120 R39 120 R40 120 R41 120 R42 120 R45 120 R46 120 R47 120 R48 120 R49 120 R50 120 R51 120 R52 120 D 2 Q 5 CLK 3 Q 6 S 4 R 1 U8:A 74HC74 +5V +5V IV III _1432717090.unknown _1432717682.unknown _1432717897.unknown _1432718020.unknown _1432718122.unknown _1432718173.unknown _1432721906.unknown _1432718066.unknown _1432717944.unknown _1432717824.unknown _1432717854.unknown _1432717730.unknown _1432717422.unknown _1432717511.unknown _1432717298.unknown _1432716549.unknown _1432716799.unknown _1432716932.unknown _1432716672.unknown _1432716322.unknown _1432716425.unknown _1432716158.unknown
/
本文档为【用51单片机完成等精度频率测量仪的设计毕业论文】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索