为了正常的体验网站,请在浏览器设置里面开启Javascript功能!

八位二进制加法器

2017-10-16 16页 doc 89KB 96阅读

用户头像

is_180829

暂无简介

举报
八位二进制加法器八位二进制加法器 课题名称 ______________ 班 级 ______________ 姓 名 ______________ 指导教师 日 期_______________ 前言 十九世纪末、二十世纪初,电子技术开始逐渐发展起来,并成为一项新兴技术。它在二十世纪发展最为迅速,应用最为广泛,并且成为了近代科学技术发展的一个重要标志。第一代电子产品以电子管为核心。四十年代末世界上诞生了第一只半导体三极管,它以小巧、轻便、省电、寿命长等特点,很快地被各国应用起来,在很大范围内取代了电子管。五十年代末期,世界上出...
八位二进制加法器
八位二进制加法器 课题名称 ______________ 班 级 ______________ 姓 名 ______________ 指导教师 日 期_______________ 前言 十九世纪末、二十世纪初,电子技术开始逐渐发展起来,并成为一项新兴技术。它在二十世纪发展最为迅速,应用最为广泛,并且成为了近代科学技术发展的一个重要标志。第一代电子产品以电子管为核心。四十年代末世界上诞生了第一只半导体三极管,它以小巧、轻便、省电、寿命长等特点,很快地被各国应用起来,在很大范围内取代了电子管。五十年代末期,世界上出现了第一块集成电路,它把许多晶体管等电子元件集成在一块硅芯片上,使电子产品向更小型化发展。集成电路从小规模集成电路迅速发展到大规模集成电路和超大规模集成电路,从而使电子产品向着高效能低消耗、高精度、高稳定、智能化的方向发展。 随着科学技术的迅猛发展,电子控制电路在日常生活中有了更为广泛的应用,各种报警专用集成电路、语音,音效集成电路、传感器的不断推出, 一些新颖实用的报警器、警示器电路已广泛应用于家庭生活、工农业生产、 交通、机动车、通信和防盗、防灾等领域。电子控制设备中的电路都是由基 本功能电路构成的。该课题涉及到模拟电子线路、Multisim软件仿等。 数电课程设计就要求我们能够很好地利用本上的知识来完成一些电 路的设计,一方面考察我们对所学知识的掌握情况,另一方面可以锻炼我们 的分析设计和知识的运用能力。数电课程设计所涉及的题目一般都是生活中 经常接触的电路,虽然比较简单但是它的设计基本上联系到了我们数电这门 课所学的所有知识。 通过数电课程设计我们可以把所学的知识系统地回顾一遍,并能在设计 过程中不断发现问题,解决问题并能不断总结不断提高。 目 录 摘要、关键字、设计要求............................................................................ 3 第一章 系统概述 ....................................................................................... 4 1.总体设计思想 ...................................................................................... 4 2.总体#设计#及选择 ............................................................................ 4 3.系统框图 ............................................................................................. 6 4.工作原理 ............................................................................................. 6 第二章 单元电路设计与分析....................................................................... 7 1.三位十进制数的加法运算模块 ............................................................... 7 1.1 8421BCD码编码器原理 .......................................................................... 7 1.2 8421BCD码加法器原理 .......................................................................... 8 1.3三位8421BCD码加法器电路 ................................................................... 9 2.八位二进制加法运算模块 .................................................................... 11 2.1八位二进制的加法电路的实现 ................................................................ 11 2.2九位二进制在数码管的显示 .................................................................. 12 第三章 系统综述、总体电路图 ................................................................. 12 1.系统综述 ........................................................................................... 12 2.总体电路图 ........................................................................................ 13 2.1三位十进制数相加总电路图 .................................................................... 13 2.2八位二进制数加法总电路图 .................................................................... 14 第四章 结束语......................................................................................... 15 收获与体会.............................................................................................. 15 元器件明细 .......................................................................................... 16 参考文献 ................................................................................................. 16 题目 八位二进制加法器 摘要, 加法运算是最重要最基本的运算,所有的其他基本算术运算,减、乘、除、模乘运算最终都能归结为加法运算。在不同的场合使用的加法器对其要求也不同,有的要求速度更快,有的要求面积更小。常见的加法器有串行进位加法器、74LS283超前进位加法器等,因此可以通过选取合适的器件设计一个加法器。 关键字, 串行进位加法器 74LS283超前进位加法器 设计要求, 1. 八位二进制加数与被加数的输入 2. 三位数码管显示 3. 三位十进制加数与被加数的输入 第一章 系统概述 1、 总体设计思想 1?选择两个74LS283超前进位并行加法器的串接可以计算八位二进制数的加法运算 2?选择合适的器件将输出的八位二进制数转换成三位十进制数,通过数码管显示 3?通过8421BCD码编码器可以将十进制数转化成四位二进制数 4?选择74LS283超前进位并行加法器的组合形成8421BCD码加法器 5?编码器与74LS283加法器相接,通过 8421BCD码加法器串接可以计算三位十进制数的加法运算,输出结果通过数码管显示 2、 总体设计方案论证及选择 加法器方案设计 方案一, 当有多位数相加,则可采用并行相加串行进位的方式来完成。例如,有两个,位二进 制数AAAA和BBBB相加 ,可以采用两片内含两个全加器或1片内含,个全加器32103210 的集成电路组成,其原理图如下图所示, 由图可以看出,每1位的进位信号送给下1位作为输入信号,因此,任1位的加法运算必须在低1位的运算完成之后才能进行,这种进位方式称为串行进位。其优点是电路结构简单,应用面较广,链接方便,但是其每一位的加法运算只能等低位进位信号产生之后才能进行,时间较长,而且它的运算速度不高。 方案二, 可以通过超前进位并行加法器来进行计算。例如,用两个74LS283四位加法器实现一个八位加法器的框图如图所示, Cin DataOut[3:0]DataInA[3:0]四位全加器 DataINB[3:0]Cout Cin DataOut[7:4]DataInA[7:4]四位全加器 DataINB[7:4]Cout 两个四位加法器实现一个八位加法器 这种快速进位,是指加法运算过程中,各级进位信号同时送到各位1为全加器的进位输入端。而且这种超前进位加法电路的运算速度高的主要原因在于,进位信号不再是逐级传递,而是采用超前进位技术。各级进位信号仅有加数、被加数和最低进位信号决定,而与其他进位无关。这就有效的提高了运算速度。因此我们也可以用超前进位加法器进行计算,用两个74LS283四位加法器可以构成一个8421BCD码加法器,从而进行十进制的加法运算。 可行性分析, 第一种串行进位加法器 的每一位加和值都依赖于上一位的进位信号,即进位信号是串行的经过加法器的每一位。所以进位链的长度与整个加法器的位数有关,加法器位数越宽,进位链越长,计算延迟越大串行进位加法器的进位链是串行的,进位链的长度直接与加法器的位宽有关。所以当加法器位宽很大时,进位计算的时延也将随之变得很大。 第二种超前进位加法器 ,不同于串行加法器,对于每一位的结果是否有进位,不需要等前一位的进位结果计算出来,而是只要输入一旦到来,就可以经过一系列逻辑计算,同时计算出各位是否有进位。理论上讲,无论多少位的加法器都可以设计成超前进位加法器,可是,当位数大于4位的时候,超前进位逻辑就变得异常复杂,而失去的实用的意义,所以大多数做到4位。而多于4位的要求,就用多个超前进位加法器级联实现,并且方便计算十进制数的加法运算。 综合各个方面的,我们可以选择超前进位加法器来设计电路。 3、 系统框图 输入十进制数 输出四位二进制数 8421BCD编码器 8421BCD码加法 器 输出和数 数码管显示 74LS283加法器 74LS283加法器 输入二进制数 串接 输出十进制数 数码管显示 二进制转换十进制 (74LS185) 4、 工作原理 当输入十进制数的时候,8421BCD码编码器先开始工作,编码器先将十进制数转换成四位二进制数,输出的四位二进制数直接到达8421BCD码加法器的输入端,我们可以使用 71LS185加法器构成的一位8421BCD码的加法器,8421BCD码是用4位二进制数表示1位十进制数,4位二进制数内部为二进制,8421BCD码之间是十进制,即逢十进一。而四位二进制加法器是按四位二进制数进行运算,即逢十六进一。二者进位关系不同。当四位二进制数加法器74LS283完成这个加法运算时,要用两片74LS283。第一片完成加法运算,第二片完成修正运算。8421BCD码加法器工作时,8421BCD码的加法运算为十进制运算,而当和数大于9时,8421BCD码就产生进位,而此时十六进制则不一定产生进位,因此需要对二进制和数进行修正,即加上6,0110,,让其产生一个进位。当和数小于等于9时,则不需要修正或者说加上0。因此我们可以通过三个8421BCD码加法器的相连组成一个三位串行进位并行加法器,这样通过低位向高位产生进位进行十进制的加法运算,最后通过连接数码管显示所得的结果。当输入二进制数的时候,两个串接的74LS283四位加法器进行加法运算,产生的八位二进制数通过集成芯片转换成三位十进制数,最后通过数码管显示。 第二章 单元电路设计与分析 1.、三位十进制数的加法运算模块 1.1 8421BCD码编码器原理 在电路图中,左端的10个开关分别代表输入的十进制的十个数码0—9,输入低电平有效,即某一个开关闭合,对应的输入信号为0。输出为4位码,所以输出端输出的代码正好对应8421BCD码。因此我们可以通过这个电路将十进制数转换成对应的8421BCD码,然后再进行计算。 输出8421BCD码 15U6A147432N>=1 811 13VCC U5AU1A5V7404N7422N&VCC R1R2R3R4R5R6R7R8R9R10U2AU3AU4A1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ7422N7422N7403N&&& J1123456十进制数的输入7120910 图2.1 8421BCD码编码器电路 1.2 8421BCD码加法器原理 这部分是使用四位加法器构成的一位8421BCD码的加法器,8421BCD码是用4位二进制数表示1位十进制数,4位二进制数内部为二进制,8421BCD码之间是十进制,即逢十进一。而四位二进制加法器是按四位二进制数进行运算,即逢十六进一。二者进位关系不同。当四位二进制数加法器74LS283完成这个加法运算时,要用两片74LS283。第一片完成加法运算,第二片完成修正运算。 8421BCD码的加法运算时十进制运算,而当和数大于9时,8421BCD码就产生进位,而此时十六进制则不一定产生进位,因此需要对二进制和数进行修正,即加上6,0110,,让其产生一个进位。当和数小于等于9时,则不需要修正或者说加上0。因此我们可以通过三个8421BCD码加法器的相连组成一个三位串行进位并行加法器,这样通过低位向高位产生进位进行十进制的加法运算。 U24A33U22A36&32& 74ALS10AM 7400NU21AU23A修正电路35 31& 74S04N7400N34 U20 1210A4SUM_4301413A3SUM_331A2SUM_2U1954A1SUM_120 111210A4SUM_4B4141315被加数8421BCD码A3SUM_30B3312A2SUM_2B211546A1SUM_1B1 1179B4C0C415B32加数8421BCD码B26B174LS283N 79C0C4 74LS283N 图2.2 一位8421BCD码加法器电路图 1.3 三位8421BCD码加法器电路 三位8421BCD码加法器是基于一位8421BCD码的加法器的原理上连接的,十进制数的个位相加大于9,则8421BCD码的加法器就向下一级产生一个进位,输出为1,如没有输出为0,这样我们就可以通过三位串行进位加法器进行加法计算。 U6A8U3A&57&进位输出74ALS10AM107400NU5AU4A6& 74S04N7400NU2 12104A4SUM_41413A3SUM_3输出和数个位的31A2SUM_2U154A1SUM_18421BCD码2111210A4SUM_4B43十进制加数个位151413B3A3SUM_3231B2A2SUM_218421BCD码654B1A1SUM_107911B4C0C415B32B2十进制被加数个位6B174LS283N8421BCD码79C0C4074LS283N U12A17U10A&进位输出16&74ALS10AM7400NU9AU11A1915& 74S04N7400N18U8 1210A4SUM_4141413A3SUM_331U7A2SUM_2输出和数十位的54A1SUM_1138421BCD码111210B4A4SUM_4151413B3A3SUM_30十进制加数十位231B2A2SUM_212654B1A1SUM_18421BCD码1179C0C4B415B3十进制被加数十位2B26B18421BCD码74LS283N79C0C4 74LS283N 9 U18A26U16A&25&进位输出74ALS10AM7400NU15AU17A2824& 74S04N7400N27U14 1210A4SUM_423291413A3SUM_331U13A2SUM_2输出和数百位的54A1SUM_1228421BCD码111210A4SUM_4B4141315十进制加数百位0B3A3SUM_3231A2SUM_2B2216548421BCD码B1A1SUM_11179C0C4B415B32十进制被加数百位B26B174LS283N8421BCD码79C0C4 74LS283N 图2.3 三位8421BCD码加法器电路图 2.八位二进制加法运算模块 2.1八位二进制的加法电路的实现 1? 74LS283串接实现八位二进制加法的原理, 用两片74LS283进行串联,四位加数与四位被加数的低位位在同一片74LS283上实现,低位在同一片74LS283上实现,将低位的进位位接入至高位的74LS283,最后输出9位二进制数。 2?电路图图2.4如下, 其中U1为高位高位输入输出,U3为低位的输入输出。最后的输出的二进制从右至左读取。 VCC LED25VR1 AA LED1300ΩJ1 J2 U11210A4SUM_4U314131210A3SUM_3A4SUM_4311413A2SUM_2A3SUM_35431A1SUM_1A2SUM_254A1SUM_111B41511B3B4215B2B362B1B2 6B179C0C4 79C0C4 图2.4 八位二进制的加法电路的实现 74LS283D 74LS283D 2.2 九位二进制在数码管的显示 1?二进制在数码管的显示, 想要将二进制输出在数码管上显示,首先要将二进制转化为相应的8421BCD码,然后进行译码最后用数码管显示,在本设计中,考虑到实验的复杂性,我们用四输入数码管,该数码管集成了8421BCD译码器。因而可以直接用来连在BCD转化电路上直接显示。 2?九位二进制数的转化原理如图2.5所示, 运用74185实现九位二进制数BCD码的转化原理图, 图2.5 九位二进制数的转化原理图 第三章 系统综述、总体电路图 1、系统综述, 加法电路是计算机电路中最基本的电路部分,在本次设计中,我们设计的是八位二进制相加和三位十进制相加并在数码管显示,我们采用74LS283来实现最基本的加法电路模块,再经过补充和修改加法电路,最终能实现本课题所要求 2 、总电路图, 55U6A7432N>=1910VCCU5AU1A5V54537404N7422N52&VCCR1R2R3R4R5R6R7R8R9R10U2AU3AU4A1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ7422N7422N7403NU55&&&U58U57U567J1U18A5U16A12303&111&829DCD_HEX4DCD_HEXDCD_HEXDCD_HEX74ALS10AM67400NU15AU17A32&282074S04N7400N5631U14U11A1210135A4SUM_4271413134A3SUM_37432N31133A2SUM_2>=1U1354132A1SUM_126111210B4A4SUM_4151413B3A3SUM_30231B2A2SUM_2212265425B1A1SUM_17911VCC59C0C4B41558B3257B2U7A6U12A5VB174LS283N7422N7404N79C0C4&VCC0R11R12R13R14R15R16R17R18R19R2074LS283NU8AU9AU10A1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ7422N7422N7403N&&&19131J21301712912824152313332016181400U24A39U22A&&1083874ALS10AM7400NU21AU23AU35A41&377432N>=174S04N7400N40U2012412568691261210127A4SUM_4361413A3SUM_3VCC31A2SUM_2U1954A1SUM_13511U36A12105VU31A111B4A4SUM_4151413110B3A3SUM_37404N7422N0231109B2A2SUM_2&65434B1A1SUM_1VCC7911112C0C4B4R21R22R23R24R25R26R27R28R29R3015U32AU33AU34AB321kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩB27422N7422N7403N6B174LS283N&&&7966C0C4J3647162706074LS283N67636561011442113115 U30A48U28A&51&47U41A7432N74ALS10AM>=17400NU27AU29A50&46808174S04N7400N49U26VCC1210A4SUM_445U37A5VU42A1413A3SUM_331A2SUM_27422N7404NU2554A1SUM_1&44VCC111210B4A4SUM_4151413R31R32R33R34R35R36R37R38R39R40B3A3SUM_302U38AU39AU40A31B2A2SUM_21kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ43654B1A1SUM_17422N7422N7403N&&&7911C0C4B41578B3J4276B283674B174LS283N82727979C0C4757712074LS283N121122731230117118119 116 U53AU47A7432N7432N>=1>=11041059293VCCVCC5VU54AU49A5VU43AU48A7404N7422N7422N7404N&VCC&VCCR51R52R53R54R55R56R57R58R59R60U50AU51AU52AR41R42R43R44R45R46R47R48R49R501kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩU44AU45AU46A7422N7422N7403N1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ1kΩ7422N7422N7403N&&&&&&102J690100J51078898951068696941038499918710189978500 图 3.1 三位十进制数相加总电路 图3.2 八位二进制数加法总电路 第四章 结束语 此次电子系统设计中,我们投入了最大的热情和精力,从参照资料,设计电路图,选择元器件到设计电路,每一个过程都经过了大家的共同探讨,其过程中出现了不少的问题,我们没有气馁,没有退缩,我们积极向同学和老师请教,并且一遍又一遍的重复实践,直到我们期望的结果实现。事实也证明我们的努力没有白费,认真严谨的实习态度给我们带来了成功的喜悦,通过这次电子系统设计,我们掌握了设计一个数字电路的基本方法和基本步骤,实际解决了设计中出现的问题,增强了寻找问题,解决问题的能力。此次电子设计的成功不仅帮助我们更好地掌握书本知识,尤其重要的是增强了我们的自信,培养了我们独立思考的能力。通过这次课程设计,我学到了很多,学习知识不只是一个记忆的过程,也是消化吸收的过程,只有通过实验才能检验所学知识的是否扎实牢靠。原本以为已经把课本吃的挺透的了,没想到在实际操作过程中还是出现了很多问题。最开始的时候一直没有头绪,不知道如何下手,不能把所学到的知识应用到实际的电路设计中去。在经过认真思考、仔细分析后,完成了电路,较为完整的实现了课程设计的要求。在这个过程中,最感谢的是老师和同学们的帮助,我们得以解决问题,使实验顺利进行下去。唯一美中不足的就是对比其他同学的实验,感觉我们的实验内容有一点少,涉及的知识并不是很多,不能从课程设计中学到更多的知识,颇为遗憾。 收获与体会: 通过这次的课程的设计,我深刻认识到了“理论联系实际”的这句话的重要性与真实性,我不但知道了以前不知道的理论知识,而且也巩固了以前知道的知识。最重要的是在 实践中理解了书本上的知识,明白了学以致用的真谛。也明白老师为什么要求我们做好这 个课程设计的原因。 在设计的过程中遇到问题,可以说得是困难重重,难免会遇到过各 种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得 不够深刻,掌握得不够牢固。 通过这次课程设计之后,一定把以前所学过的知识重新温故。这次课程设计可以说得 是苦多于乐,但是可以学到很多很多的的东西,不仅可以巩固了以前所学过的知识,而且 学到了很多在书本上所没有学到过的知识,所以说这次课程设计收获颇多。 元器件明细表 数量描述参考标识封装 6DSWPK_10J1, J2, J3, J4, J5, J6Ultiboard\DIPSW10H1874STD, 7422NU1, U2, U3, U7, U8,U31,U43,U44,U45,U49,U50,U51IPC-2221A/2222\NO14 674STD, 7403NU4, U10, U34, U40, U46, U52IPC-2221A/2222\NO14 674STD, 7432NU6, U11, U35, U41, U47, U53IPC-2221A/2222\NO14 674STD, 7404NU5, U12, U36, U42, U48, U54IPC-2221A/2222\NO14 674LS, 74LS283NU13, U14, U19, U20, U25, U26IPC-2221A/2222\NO16 374S, 74S04NU15, U21, U27IPC-2221A/2222\NO14 674STD, 7400NU16, U17, U22, U23, U28, U29IPC-2221A/2222\NO14 374ALS, 74ALS10AMU18, U24, U30IPC-7351\M14A 参考文献 《数字电子技术基础》 林涛 楚岩 林薇著 《数字电子技术基础》 李月乔著 《电子技术基础》 康华光著 《电子技术应用实践指导》 郭宏著 评 语 评审人: 年 月 日
/
本文档为【八位二进制加法器】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索