为了正常的体验网站,请在浏览器设置里面开启Javascript功能!

重修班3

2011-02-25 45页 pdf 407KB 15阅读

用户头像

is_766612

暂无简介

举报
重修班3 4.1 概 述 4.2 组合逻辑电路的分析 4.3 常用集成组合逻辑电路 4.4 组合逻辑电路的设计 4. 组合逻辑电路 如果电路在任意时刻的输出,仅仅决定于该时刻的输 入,与电路原来的状态无关,则此类逻辑电路统称为 组合逻辑电路。 4.1 概 述 一、逻辑电路的分类 根据逻辑功能的不同特点,数字电路分为: 1、组合逻辑电路 2、时序逻辑电路 二、组合逻辑电路 4.2 组合逻辑电路的分析 根据给定组合逻辑电路,找出电路输出与输入 之间的逻辑关系,确定逻辑功能。 一、任务 二、分析步...
重修班3
4.1 概 述 4.2 组合逻辑电路的 4.3 常用集成组合逻辑电路 4.4 组合逻辑电路的 4. 组合逻辑电路 如果电路在任意时刻的输出,仅仅决定于该时刻的输 入,与电路原来的状态无关,则此类逻辑电路统称为 组合逻辑电路。 4.1 概 述 一、逻辑电路的分类 根据逻辑功能的不同特点,数字电路分为: 1、组合逻辑电路 2、时序逻辑电路 二、组合逻辑电路 4.2 组合逻辑电路的分析 根据给定组合逻辑电路,找出电路输出与输入 之间的逻辑关系,确定逻辑功能。 一、任务 二、分析步骤 根据给定逻辑图,写逻辑函数式 化简逻辑函数式 由逻辑函数式,列真值表 概括逻辑功能 例1、分析多输出组合逻辑电路,确定逻辑功能。 Ⅰ Ⅱ Ⅲ 解: ⑴ 写出逻辑表达式 Ⅰ: Y1 = AB Ⅱ: Y2 = AY1 , Y3 = BY1 , C= Y1 , Ⅲ: S = Y2 Y3 Y1A B & Y2& Y3& 1 S& C 4.2 组合逻辑电路的分析 ⑵ 化简 ⑶ 列真值表 S = Y2 Y3 = AY1 • BY1 = AY1 +BY1 =(A+B)Y1 =(A+B)• AB =(A+B)•(A+ B) =AB+AB = A⊕B C = Y1 = AB ⑷ 逻辑功能分析 A — 被加数 B — 加数 S — 半加和 C — 向高位的进位输出 一位半加器 4.2 组合逻辑电路的分析 1 1 1 0 0 1 0 0 S CA B 1 1 1 0 0 1 0 00 0 S CA B 1 1 1 0 1 00 1 0 00 0 S CA B 1 1 1 01 0 1 00 1 0 00 0 S CA B 0 11 1 1 01 0 1 00 1 0 00 0 S CA B ① 根据二进制加法运算规则,列真值表 设:A、B — 被加数、加数 CI — 来自低位的进位输入 S — 全加和 4.3 常用集成组合逻辑电路 例2、设计一位全加器 解: CO — 向高位的进位输出 S COA B CI 1 1 1 1 1 0 1 0 1 1 0 0 0 1 1 0 1 0 0 0 1 0 0 0 S COA B CI 1 1 1 1 1 0 1 0 1 1 0 0 0 1 1 0 1 0 0 0 1 0 00 0 0 S COA B CI 1 1 1 1 1 0 1 0 1 1 0 0 0 1 1 0 1 0 1 00 0 1 0 00 0 0 S COA B CI 1 1 1 1 1 0 1 0 1 1 0 0 0 1 1 1 00 1 0 1 00 0 1 0 00 0 0 S COA B CI 1 1 1 1 1 0 1 0 1 1 0 0 0 10 1 1 1 00 1 0 1 00 0 1 0 00 0 0 S COA B CI 1 1 1 1 1 0 1 0 1 1 01 0 0 0 10 1 1 1 00 1 0 1 00 0 1 0 00 0 0 S COA B CI 1 1 1 1 1 0 0 11 0 1 1 01 0 0 0 10 1 1 1 00 1 0 1 00 0 1 0 00 0 0 S COA B CI 1 1 1 0 11 1 0 0 11 0 1 1 01 0 0 0 10 1 1 1 00 1 0 1 00 0 1 0 00 0 0 S COA B CI 1 11 1 1 0 11 1 0 0 11 0 1 1 01 0 0 0 10 1 1 1 00 1 0 1 00 0 1 0 00 0 0 S COA B CI ② 写逻辑函数式 S = A BCI +ABCI+AB CI +ABCI = CI (AB+AB)+CI(A B+AB) = CI (A⊕B)+ CI(A⊕B ) = A⊕B ⊕ CI A B CI A B CI A B CI A B CI 一、加法器 CO=ABCI +ABCI+ABCI+ABCI = CI(A ⊕ B)+ AB 4.3 常用集成组合逻辑电路 1 11 1 1 0 11 1 0 0 11 0 1 1 01 0 0 0 10 1 1 1 00 1 0 1 00 0 1 0 00 0 0 S COA B CI ABCI ABCI ABCI ABCI S = A⊕B ⊕ CI ③ 画逻辑图 =1 =1 A B CI S & & ≥1 CO 译 码 器 二进制 代码 高低电平 信号 1、逻辑功能 2、常用类型 二进制译码器(变量译码器)◆◆ 二-十进制译码器(码制译码器)◆◆ 显示译码器◆◆ 4.3 常用集成组合逻辑电路 二、译码器 将输入的二进制代码译成对应的输出高、低电平信号。 二进制 地址输入 使能控制 译码输出 低有效 1 0 0 4.3 常用集成组合逻辑电路 3、二进制译码器 74LS138A0 A1 A2 Y0 Y1 Y7 • • • EN& S1 S2 S3 3线-8线译码器 74LS138 真值表 4.3 常用集成组合逻辑电路 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7A2 A1A0S2+S3S1 输 出输 入 1 1 1 1 1 1 1 1x x x1x Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7A2 A1A0S2+S3S1 输 出输 入 1 1 1 1 1 1 1 1x x xx0 1 1 1 1 1 1 1 1x x x1x Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7A2 A1A0S2+S3S1 输 出输 入 01 1 1 1 1 1 1 1 1x x xx0 1 1 1 1 1 1 1 1x x x1x Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7A2 A1A0S2+S3S1 输 出输 入 0 1 1 1 1 1 1 10 0 0 01 1 1 1 1 1 1 1 1x x xx0 1 1 1 1 1 1 1 1x x x1x Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7A2 A1A0S2+S3S1 输 出输 入 1 0 1 1 1 1 1 10 0 1 0 1 1 1 1 1 1 10 0 0 01 1 1 1 1 1 1 1 1x x xx0 1 1 1 1 1 1 1 1x x x1x Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7A2 A1A0S2+S3S1 输 出输 入 1 1 0 1 1 1 1 10 1 0 1 0 1 1 1 1 1 10 0 1 0 1 1 1 1 1 1 10 0 0 01 1 1 1 1 1 1 1 1x x xx0 1 1 1 1 1 1 1 1x x x1x Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7A2 A1A0S2+S3S1 输 出输 入 1 1 1 0 1 1 1 10 1 1 1 1 0 1 1 1 1 10 1 0 1 0 1 1 1 1 1 10 0 1 0 1 1 1 1 1 1 10 0 0 01 1 1 1 1 1 1 1 1x x xx0 1 1 1 1 1 1 1 1x x x1x Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7A2 A1A0S2+S3S1 输 出输 入 1 1 1 1 0 1 1 11 0 0 1 1 1 0 1 1 1 10 1 1 1 1 0 1 1 1 1 10 1 0 1 0 1 1 1 1 1 10 0 1 0 1 1 1 1 1 1 10 0 0 01 1 1 1 1 1 1 1 1x x xx0 1 1 1 1 1 1 1 1x x x1x Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7A2 A1A0S2+S3S1 输 出输 入 1 1 1 1 1 0 1 11 0 1 1 1 1 1 0 1 1 11 0 0 1 1 1 0 1 1 1 10 1 1 1 1 0 1 1 1 1 10 1 0 1 0 1 1 1 1 1 10 0 1 0 1 1 1 1 1 1 10 0 0 01 1 1 1 1 1 1 1 1x x xx0 1 1 1 1 1 1 1 1x x x1x Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7A2 A1A0S2+S3S1 输 出输 入 1 1 1 1 1 1 0 11 1 0 1 1 1 1 1 0 1 11 0 1 1 1 1 1 0 1 1 11 0 0 1 1 1 0 1 1 1 10 1 1 1 1 0 1 1 1 1 10 1 0 1 0 1 1 1 1 1 10 0 1 0 1 1 1 1 1 1 10 0 0 01 1 1 1 1 1 1 1 1x x xx0 1 1 1 1 1 1 1 1x x x1x Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7A2 A1A0S2+S3S1 输 出输 入 1 1 1 1 1 1 1 01 1 1 1 1 1 1 1 1 0 11 1 0 1 1 1 1 1 0 1 11 0 1 1 1 1 1 0 1 1 11 0 0 1 1 1 0 1 1 1 10 1 1 1 1 0 1 1 1 1 10 1 0 1 0 1 1 1 1 1 10 0 1 0 1 1 1 1 1 1 10 0 0 01 1 1 1 1 1 1 1 1x x xx0 1 1 1 1 1 1 1 1x x x1x Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7A2 A1A0S2+S3S1 输 出输 入 禁止 正常 工作 1 1 1 1 1 1 0 11 1 0 1 1 1 0 1 1 1 10 1 1 1 1 1 1 0 1 1 11 0 0 1 1 1 1 1 0 1 11 0 1 1 1 0 1 1 1 1 10 1 0 1 0 1 1 1 1 1 10 0 1 0 1 1 1 1 1 1 10 0 0 1 1 1 1 1 1 1 01 1 1 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 A2 A1A0 Y0 = Y1 = Y7 = ••• A2 • A1 • A0 A2 • A1 • A0 问:如何利用译码器的这种特性? 4.3 常用集成组合逻辑电路 A2 • A1 • A0 ∴ Y0 ∼ Y7 是输入变量 A0 ∼ A2 的全部最小项的 译码输出 1 1 1 1 1 1 0 11 1 0 1 1 1 0 1 1 1 10 1 1 1 1 1 1 0 1 1 11 0 0 1 1 1 1 1 0 1 11 0 1 1 1 0 1 1 1 1 10 1 0 1 0 1 1 1 1 1 10 0 1 0 1 1 1 1 1 1 10 0 0 01 x0 1 1 1 1 1 1 1 1x x x1x 1 1 1 1 1 1 1 01 1 1 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 A2 A1 A0S2 +S3S1 禁止 正常 工作 ① 当 S1=1、S2=S3=0 时,译码器处于工作状态,Y0 ∼ Y7 状态由地址输入 A0 ∼ A2 确定; ② 当 S1=0 或 S2=1 或 S3=1 时,译码器被禁止,所有输出 端 Y0 ∼ Y7 被封锁为高电平; 示意图 4.3 常用集成组合逻辑电路 1、逻辑功能 三、数据选择器 根据地址从一组输入数据中选中某一个,并传送至 公共的数据输出端。 D0 D1 D2 D3 A1A0 数据输出 地址控制 数据 输入 Y =00= 01 4.3 常用集成组合逻辑电路 2、双4选1数据选择器 (74LS153) ½74LS153 D10 D11 D12 D13 数据输入 S1 A1 A0 地址输入 选通输入 Y1 数据输出 Y1A1 A0S1 输 出输 入 0x x1 Y1A1 A0S1 输 出输 入 D100 0 0 0x x1 Y1A1 A0S1 输 出输 入 D110 1 D100 0 0 0x x1 Y1A1 A0S1 输 出输 入 D131 1 D121 0 D110 1 D100 0 0 0x x1 Y1A1 A0S1 输 出输 入 功能表 S1=1,MUX 输出被封锁为0 Y1=A1A0D10+ A1A0D11 + A1A0D12 + A1A0D13 例、分析组合逻辑电路的逻辑功能 B MUX D0 D1 D2 D3 Y A1 A0 MUX D0 D1 D2 D3 Y A1 A0 1 0 C 1 A J S 解: ① 直接写出 J、S 的逻辑函数式 4.3 常用集成组合逻辑电路 J= A B • 0+ A B • C+ A B • C+ A B • 1 S = A B • C + A B • C + A B • C+ A B • C J= A B • 0+ A B • C+ A B • C+ A B • 1 = A B C + A B C + A B S = A B • C + A B • C + A B • C+ A B • C 1位二进制 全加器 ② 列真值表 ③ 概括逻辑功能 4.3 常用集成组合逻辑电路 1 11 1 1 0 11 1 0 0 11 0 1 1 01 0 0 0 10 1 1 1 00 1 0 1 00 0 1 0 00 0 0 S JA B C 74LS151 D0 D1 D2 D3 D4 D5D6D7 数据输入 S A2A1A0 地址输入 选通输入 Y W 数据输出 原码 反码 互补输出 4.3 常用集成组合逻辑电路 3、8选1数据选择器 (74LS151) 74LS151 功能表 A2 A1 A0 • D0 A2 A1 A0 • D1 A2 A1 A0 • D2 A2 A1 A0 • D4 A2 A1 A0 • D3 A2 A1 A0 • D5 A2 A1 A0 • D6 A2 A1 A0 • D7 4.3 常用集成组合逻辑电路 D6 D61 1 0 D3 D30 1 1 D4 D41 0 0 D5 D51 0 1 D2 D20 1 0 D1 D10 0 1 D0 D00 0 0 0 0 1x x x1 D7 D71 1 1 Y WA2 A1 A0S 正常工作 禁止 Y = A2A1A0 • D0 + A2A1A0 • D1 + A2A1A0 • D2+ A2A1A0 • D3 + A2A1A0 • D4 + A2A1A0 • D5 + A2A1A0 • D6 + A2A1A0 • D7 例1、用双4选1 MUX组成8选1 MUX D0 D1 D2 D3 D4 D5 D6 D7 A0 A1 A2 1 74LS153 Y≥1 D10 D11 D12 D13 Y1 D20 D21 D22 D23 S2 Y2 D10 D11 D12 D13 S1 Y1 A1 A0 4.3 常用集成组合逻辑电路 0 D0 D1 D2 D3 D4 D5 D6 D7 A0 A1 A2 1 74LS153 Y≥1 D10 D11 D12 D13 Y1 D20 D21 D22 D23 S2 Y2 D10 D11 D12 D13 S1 Y1 A1 A00 D0 D1 D2 D3 D4 D5 D6 D7 A0 A1 A2 1 74LS153 Y≥1 D10 D11 D12 D13 D20 D21 D22 D23 S2 Y2 D10 D11 D12 D13 S1 Y1 A1 A01 D0 D1 D2 D3 D4 D5 D6 D7 A0 A1 A2 1 74LS153 Y≥1 D10 D11 D12 D13 D20 D21 D22 D23 S2 Y2 D10 D11 D12 D13 S1 Y1 A1 A0 8选1 4、应用 (1)实现功能扩展 4.3 常用集成组合逻辑电路 (2)并行输入转换为串行输出 0 1 0 1 0 0 4选1 MUX D0 D1 D2 D3 4位并行输入 A1 A0 地址输入 选通输入 Y 串行输出 S 0 0 1 1 1 0 0 1 1 1 思考:如何在 Y得到 1100的循环输出? 例2、4选1 MUX 的输出Y的波形分析 D0 Y 4.3 常用集成组合逻辑电路 D1 D2 D3 A0 A1 4选1 MUX Y = D0• (A1A0) + D1• (A1A0) + D2• (A1A0) +D3• (A1A0) 8选1 MUX Y = D0• (A2A1A0) + D1• (A2A1A0) + D2• (A2A1A0) + D3• (A2A1A0) + D4• (A2A1A0) + D5• (A2A1A0) + D6• (A2A1A0) + D7• (A2A1A0) 4.3 常用集成组合逻辑电路 (3)作函数发生器 —— 实现逻辑函数 ∴ 使用数据选择器可方便地实现单输出逻辑函数 解: 方法1 逻辑函数式对比法 =ABC+ABC+ABC +ABC+ABC +ABC 8选1 MUX Y(A,B,C) = D0•(ABC)+ D1•(ABC)+ D2•(ABC) + D3•(ABC)+ D4•(ABC)+ D5•(ABC) + D6•(ABC)+ D7•(ABC) Y(A,B,C)=AB+AC+BC 待实现函数 = 0 •ABC + 1 • ABC + 1 • ABC + 1 • ABC +1 •ABC + 1 • ABC + 1 • ABC + 0 • ABC D0=D7=0 D1 ~ D6=1 4.3 常用集成组合逻辑电路 例3、用8选1 MUX实现函数 Y(A,B,C)=AB + AC + BC 1011 1110 00 01 11 10 AB C 0 1 Y=AB+AC+BC 8选1 MUX D5D7D3D1 D4D6D2D0 00 01 11 10 A2A1 A0 0 1 方法2 卡诺图对比法 4.3 常用集成组合逻辑电路 8选1 MUX D0 D1 D2 D3 D4 D5 D6 D7 A2 A1 A0 Y S A B C “1” Y=AB+AC+BC逐项比较: D0= D7 = 0,D1 ~ D6 = 1 变量高位对应 接至地址高位 根据逻辑功能的要求以及器件资源,设计出实现这 一功能的最佳电路。 4.4 组合逻辑电路的设计 一、任务 二、采用门电路设计组合逻辑电路 ◆◆ 建立描述逻辑问题的真值表 ◆◆ 分析已知条件与实现功能间的因果关系 ◆◆ 确定输入变量、输出变量 ◆◆ 列真值表 ◆◆ 由真值表写出逻辑函数式 ◆◆ 化简逻辑函数式 ◆◆ 逻辑函数式变换 ◆◆ 画逻辑图 1、步骤 例1、国际展览中心举办计算机展,入场券有 红、黄两种,规定外宾使用红票,内宾使用黄 票,在入口处设自动检票机,符合条件者放行, 试设计此检票机,并分别用下列门实现: 4.4 组合逻辑电路的设计 (1)与非门 (2)或非门 (3)与或非门 2、举例 解: ① 设定输入、输出变量 4.4 组合逻辑电路的设计 参观者 A 0 内宾 1 外宾 持红票 B 0 无红票 1 有红票 持黄票 B 0 无黄票 1 有黄票 输出变量 Y 0 禁止入内 1 放行 ② 列真值表 YA B C 1 1 1 1 1 0 1 0 1 1 0 0 0 1 1 0 1 0 0 0 1 0 0 0 YA B C 1 1 1 1 1 0 1 0 1 1 0 0 0 1 1 0 1 0 0 0 1 00 0 0 YA B C 1 1 1 1 1 0 1 0 1 1 0 0 0 1 1 0 1 0 10 0 1 00 0 0 YA B C 1 1 1 1 1 0 1 0 1 1 0 0 0 1 1 00 1 0 10 0 1 00 0 0 YA B C 1 1 1 1 1 0 1 0 1 1 0 0 10 1 1 00 1 0 10 0 1 00 0 0 YA B C 1 1 1 1 1 0 1 0 1 01 0 0 10 1 1 00 1 0 10 0 1 00 0 0 YA B C 1 1 1 1 1 0 01 0 1 01 0 0 10 1 1 00 1 0 10 0 1 00 0 0 YA B C 1 1 1 11 1 0 01 0 1 01 0 0 10 1 1 00 1 0 10 0 1 00 0 0 YA B C 11 1 1 11 1 0 01 0 1 01 0 0 10 1 1 00 1 0 10 0 1 00 0 0 YA B C ¨ ABC ¨ ABC ¨ ABC ¨ ABC ③ 根据真值表,写出逻辑函数式 Y = ABC+ABC+ABC+ABC = AC + AB 11 1 1 11 1 0 01 0 1 01 0 0 10 1 1 00 1 0 10 0 1 00 0 0 YA B C ④ 根据所用器件,对Y进行函数变换 A、与非门 = AC + ABY = AC + AB = AC • AB B、或非门 0111 0100 AB C 0 1 00 01 11 10Y =( A+C)•( A+B) =( A+C)•( A+B) = A+C + A+B 4.4 组合逻辑电路的设计 C、与或非门 = A+C + A+BY = A • C + A • B ⅰ、用与非门实现 ⅱ、用或非门实现 ⅲ、用与或非门实现 & Y A B A C ≥1 ⑤ 画逻辑图 4.4 组合逻辑电路的设计 & & & Y A C B A Y A C ≥1 ≥1 ≥1 A B 例2、某研修班开设微机原理、信号处理、数字通 信和网络技术4门课程,若考试通过,可分别获得 5学分、4学分、3学分和2学分;否则,得0分。规 定至少获得9个学分才可结业。试用与非门设计一 个组合逻辑电路,判断研修生能否结业。 解: ① 设定输入变量、输出变量 4.4 组合逻辑电路的设计 输入变量 A、B、C、D 0 未取得该学分 1 取得该学分 输出变量 F 0 不可结业 1 可结业 9 7 6 4 5 3 2 0 学分 10 1 1 1 00 1 1 0 00 1 0 1 00 1 0 0 00 0 1 1 00 0 1 0 00 0 0 1 00 0 0 0 FA B C D 14 12 11 9 10 8 7 5 学分 11 1 1 1 11 1 1 0 11 1 0 1 11 1 0 0 11 0 1 1 01 0 1 0 01 0 0 1 01 0 0 0 FA B C D ② 列真值表 4.4 组合逻辑电路的设计 ③ 写逻辑函数式 0100 1110 0100 0100 AB CD 00 01 11 10 00 01 11 10 F = AB + BCD + ACD = AB + BCD + ACD = AB • BCD • ACD ④ 函数变换 F = AB + BCD + ACD ⑤ 画逻辑电路图 4.4 组合逻辑电路的设计 & & & A B C D & F 例3、用8选1数据选择器实现4变量逻辑函数 F(A , B, C, D)=Σm(1, 5, 6, 7, 9, 11, 12, 13, 14) 解: (1)扩展法 思路:先将2片8选1数据选择器扩展为16选1 数据选择器,再用生成的16选1数据选择器实 现4变量函数。 4.4 组合逻辑电路的设计 三、采用MSI 设计组合逻辑电路 思路:采用逻辑函数表达式对比法 8选1 MUX1 0 1 2 3 4 5 6 7 S1 Y1 A2A1A0 8选1 MUX2 8 9 10 11 12 13 14 15 S2 Y2 A2A1A0 B C D A 1 ≥1 F +5V =Σm(1,5,6,7, 9,11,12,13,14) Y1=Σm(1,5,6,7) Y2=Σm( 9,11,12,13,14) 4.4 组合逻辑电路的设计 (1)扩展法 F=Σm (1, 5, 6, 7, 9, 11, 12, 13, 14) 00 01 11 10 DD10 D1DD AB C 0 1 ② 降维 A0 0 1 00 01 11 10 D5D7D3D1 D4D6D2D0 A2A1逐项 对比 (2)降维图法 0110 1010 1111 0100 AB CD 00 01 11 10 00 01 11 10 0110 1010 1111 0100 AB CD 00 01 11 10 00 01 11 10 4.4 组合逻辑电路的设计 ① 作函数F 的卡诺图 D0 =D2 =D4 =D5 =D, D1 = 0 D3 =D6 =1, D7 = D ④ 确定数据端 F=Σm (1, 5, 6, 7, 9, 11, 12, 13, 14) 选择哪些变量作为地址变量,是任意的。选择 不同,结果不同。 4.4 组合逻辑电路的设计 ⑤ 画逻辑图 1 D “1” A B C 8选1 MUX D0D1D2D3D4D5D6D7S YA2A1A0 F 变量高位对应接至地址高位 D0 = D2 = D4 = D5 = D D1 = 0 D3 = D6 = 1 D7 = D 例4、设计全减器,用74LS138 实现。 解:设Ai为被减数;Bi为减数;Ci-1为低位向本位的借 位; Si 为差值; Ci 为本位向高位的借位; 4.4 组合逻辑电路的设计 Si CiAi Bi Ci-1 1 1 1 1 1 0 1 0 1 1 0 0 0 1 1 0 1 0 0 0 1 0 0 0 Si CiAi Bi Ci-1 1 1 1 1 1 0 1 0 1 1 0 0 0 1 1 0 1 0 0 0 1 0 00 0 0 Si CiAi Bi Ci-1 1 1 1 1 1 0 1 0 1 1 0 0 0 1 1 0 1 0 1 10 0 1 0 00 0 0 Si CiAi Bi Ci-1 1 1 1 1 1 0 1 0 1 1 0 0 0 1 1 1 10 1 0 1 10 0 1 0 00 0 0 Si CiAi Bi Ci-1 1 1 1 1 1 0 1 0 1 1 0 0 0 10 1 1 1 10 1 0 1 10 0 1 0 00 0 0 Si CiAi Bi Ci-1 1 1 1 1 1 0 1 0 1 1 01 0 0 0 10 1 1 1 10 1 0 1 10 0 1 0 00 0 0 Si CiAi Bi Ci-1 1 1 1 1 1 0 0 01 0 1 1 01 0 0 0 10 1 1 1 10 1 0 1 10 0 1 0 00 0 0 Si CiAi Bi Ci-1 1 1 1 0 01 1 0 0 01 0 1 1 01 0 0 0 10 1 1 1 10 1 0 1 10 0 1 0 00 0 0 Si CiAi Bi Ci-1 1 11 1 1 0 01 1 0 0 01 0 1 1 01 0 0 0 10 1 1 1 10 1 0 1 10 0 1 0 00 0 0 Si CiAi Bi Ci-1 ② 写Si、Ci 的逻辑函数式 Si= Ai Bi Ci-1 + Ai Bi Ci-1+ Ai Bi Ci-1+ Ai Bi Ci-1 ① 根据二进制减法运算规则, 列真值表 Ci= Ai Bi Ci-1 + Ai Bi Ci-1+ Ai Bi Ci-1+ Ai Bi Ci-1 如何用74LS138译码器实现Si和Ci? 4.4 组合逻辑电路的设计 ③ Si、Ci写成最小项反的形式 = m1+ m2+ m4+ m7 = m1 • m2 • m4 • m7 = Y1 • Y2 • Y4 • Y7 Si= Ai Bi Ci-1 + Ai Bi Ci-1+ Ai Bi Ci-1+ Ai Bi Ci-1 = m1+ m2+ m4+ m7 Ci= Ai Bi Ci-1 + Ai Bi Ci-1+ Ai Bi Ci-1+ Ai Bi Ci-1 = m1+ m2+ m3+ m7 = m1+ m2+ m3+ m7 = m1 • m2 • m3 • m7 = Y1 • Y2 • Y3 • Y7 +5VCi-1 Bi Ai 74LS138 A0 A1 A2 S1 S2 S3 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 ④ 画逻辑图 4.4 组合逻辑电路的设计 = Y1 • Y2 • Y4 • Y7Si = Y1 • Y2 • Y3 • Y7Ci & = Y1•Y2•Y4•Y7Si & = Y1•Y2•Y3•Y7Ci 第四章 组合逻辑电路 ◆◆ 给定电路 (波形图), 写逻辑函数式 各种门电路 数据选择器 译码器 直接写出输出与 输入间的关系式  数据选择器 Y= D0 • ( A1 A0 ) + D1 • ( A1 A0 ) +D2 • ( A1 A0 ) + D3 • ( A1 A0 ) „ Y= D0 • ( A2A1 A0 ) + D1 • ( A2 A1 A0 ) + D2 • ( A2 A1 A0 )„ + D3 • ( A2 A1 A0 ) + D4 • ( A2 A1 A0 ) + D5 • ( A2 A1 A0 ) + D6 • ( A2 A1 A0 ) + D7 • ( A2 A1 A0 ) P212 4.16, 4.17, 4.18, 4.19, 4.20, 例题  写出由数据选择器、译码器构成的电路的最简 函数式 (4.17, 例题) ◆◆ 数据选择器实现单输出逻辑函数 4选1实现2、3变量逻辑函数 8选1实现3、4变量逻辑函数 降维法、例题  译码器 „ Yi = m i 非号! (输出为Yi ) P212 4.16, 4.17, 4.18, 4.19, 4.20, 4.21, 4.24, 例题 ◆◆ 译码器实现多输出逻辑函数  各使能控制端的处理  2线-4线、3线-8线、4线-16线译码器 P212 4.10, 4.12, 4.14 (全减器) , 全加器, 例题 ◆◆ 用门电路实现逻辑函数(例题)   与非门 或非门  与或非门 P210 4.5, 4.6 (实际应用), 例题 4.24 用8选1数据选择器设计一个函 数发生器电路。 A1 1 A⊕B1 0 A+B0 1 A•B0 0 YS1 S0 解: Y = S1 S0 AB + S1 S0 (A+B) + S1 S0 (A⊕B) + S1 S0 A = S1 S0 AB + S1 S0 A+ S1 S0 B + S1 S0 AB+ S1 S0 AB+ S1 S0 A = S1 S0 A• 0 + S1 S0 A • B + S1 S0 A • B + S1 S0 A • 1 + S1 S0 A • B + S1 S0 A • B + S1 S0 A • 1+ S1 S0 A • 0 A2= S1 , A1= S0 , A0= A D0= D7 = 0 , D1= D2 = D4= B, D3= D6 = 1, D5= B A2= S1 , A1= S0 , A0= A D0= D7 = 0 , D1= D2 = D4= B, D3= D6 = 1, D5= B CC4512 D0 D1 D2 D3 D4 D5 D6 D7 A0 A1 A2 DIS INH Y A S0 S1 1 B 1 4.24(续) 已知输入信号A、B、C、D 的波形,请分别用与非 门、或非门、8选1数据选择器设计产生输出 F 波形 的组合电路,允许反变量输入。 A B C D F 注意任意项的处理
/
本文档为【重修班3】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索