为了正常的体验网站,请在浏览器设置里面开启Javascript功能!
首页 > 本科毕业论文答辩

本科毕业论文答辩

2020-03-13 19页 ppt 2MB 15阅读

用户头像 个人认证

建新

人民教育工作者

举报
本科毕业论文答辩毕业答辩基于FPGA的语音信号的A/D和D/A主要内容一、开发环境的介绍二、VerilogHDL语言的介绍三、本设计主要研究的内容四、系统的设计框架和模块介绍五、系统的功能描述和演示运行框架 、开发环境的介绍本设计主要用到了Altera公司推出的ED2-115开发板,该开发板是以FPGA器件EP4CE115F29C7N为核心,板上USBBlaster用于编程,同时支持JTAG模式和AS模式,存储芯片包括2MB的SRAM,2片64MB的SDRAM,8MB闪存以及一个SD卡扩展插槽。有4个按钮开关,18个滑动开关,18个红色LED...
本科毕业论文答辩
毕业答辩基于FPGA的语音信号的A/D和D/A主要内容一、开发环境的介绍二、VerilogHDL语言的介绍三、本设计主要研究的内容四、系统的设计框架和模块介绍五、系统的功能描述和演示运行框架 、开发环境的介绍本设计主要用到了Altera公司推出的ED2-115开发板,该开发板是以FPGA器件EP4CE115F29C7N为核心,板上USBBlaster用于编程,同时支持JTAG模式和AS模式,存储芯片包括2MB的SRAM,2片64MB的SDRAM,8MB闪存以及一个SD卡扩展插槽。有4个按钮开关,18个滑动开关,18个红色LEDs,9个绿色LEDs。50MHz晶振提供给时钟源,另有RS232收发器和9针连接线,PS/2接口等等,板上含有Flash、SRAM等存储器,MIC、Line-in、Line-out接口,Video-in和VGA等音频视频接口,以及各种输入输出设备,利用该开发板丰富的资源能够很方便的进行设计开发,以利于后续的功能扩展。二、VerilogHDL语言的介绍VerilogHDL是一种硬件描述语言(HDL:HardwareDiscriptionLanguage),是一种以文本形式来描述数字系统硬件的结构和行为的语言,用它可以示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。他是一种以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。VerilogHDL和VHDL是目前世界上最流行的两种硬件描述语言,都是在20世纪80年代中期开发出来的。前者由GatewayDesignAutomation公司(该公司于1989年被Cadence公司收购)开发。两种HDL均为IEEE标准。三、本系统主要研究的内容 本设计是利用音频编解码芯片WM8731,基于FPGA器件利用VerilogHDL硬件描述语言实现对该芯片的控制,以及对模拟信号(音频)的A/D的转换,并经过FPGA的处理再进过D/A模块进行输出。利用开发板DE2-115丰富的资源,实现了对于音频信号的一个A/D和D/A的过程控制和实现,基于FPGA的优越性只需要更改寄存器的地址和数据,就可实现控制电路的移植。 主要内容包括对音频编/解码芯片WM8731的I2C总线配置模块的设计方法、I2S总线数据传输的实现以及对A/D转化而来的数字信号存储和控制再经过D/A实现对信号的处理后的效果比较。四、系统的设计框架和模块介绍音频编码解码芯片的内部结构如示:本设计中主要涉及I2C总线和I2S总线两种总线。I2C总线主要运用在控制接口,FPGA器件通过该接口对语音编/解码芯片WM8731控制字的写入。而I2S总线则是用在音频数据接口,主要负责FPGA器件与语音编/解码芯片的音频数据传输。I2C总线 I2C(Inter-IntegratedCircuit,内部集成电路)总线是Philips公司开发的芯片间串行通讯总线,它利用SDI(串行数据线)和SCLK(串行时钟线)两根信号线将外围通讯模块连接起来,进行数据传输,I2C总线控制器是处理器与I2C器件之间的接口,它要完成接收处理器的控制信号、命令和数据,还需发送I2C器件的数据和状态响应到处理器,实现处理器与I2C器件之间的通信。在DE2-115中WM8731的设备号固定为0X34,最后一位是读写选择位,由于WM8731是只写的,不能读,所以地址加上R/W位就是0X34,发送完8位设备地址加读写位后,接下来发送16位数据,前7位是WM8731内部寄存器的地址,后9位是寄存器配置的地址。每一个命令封装成24位的一帧,即每次发送命令都包括:设备号,读写位,7位寄存器地址,9位数据。(最低位R/W若为‘0’,表示向从机填写数据;为‘1’,表示从从机读取数据。)I2S(Inter—ICSound)总线是飞利浦公司为数字音频设备之间的音频数据传输而制定的一种总线标准,该总线专责于音频设备之间的数据传输,广泛应用于各种多媒体系统。I2S格式的信号无论有多少位有效数据,数据的最高位总是出现在LRC变化(也就是一帧开始)后的第2个位时钟频率(BCLK)脉冲处。四、系统的设计框架和模块介绍主要是对I2C时序接口模块例化,并向该模块写入预设定的控制字以及开始信号、等待结束信号、校验应答信号,以及提供I2C工作的时钟频率。在DE2-115中WM8731的设备号固定为0X34,最后一位是读写选择位,由于WM8731是只写的,不能读,所以地址加上R/W位就是0X34,发送完8位设备地址加读写位后,接下来发送16位数据,前7位是WM8731内部寄存器的地址,后9位是寄存器配置的地址。每一个命令封装成24位的一帧,即每次发送命令都包括:设备号,读写位,7位寄存器地址,9位数据。该模块主要实现对I2C时序的模拟,控制SCLK(数据时钟)和SDAT(数据线)将存放在I2C_data中的24位控制字串行发送给WM8731,该模块例化于I2C控制字配置模块之中,以实现对该芯片的控制字写入。五、系统的功能描述和演示当设置将adcdat的数据直接赋给dacdat,通过SignalTapII可以发现adcdat和dacdat的波形图是一样的,此时的输入和输出所听到的声音是一样的。对经过A/D转换之后的串行的adcdat数据进行取反操作,然后将其赋给dacdat,而此时输入和输出听到的声音也是一样的。对经过A/D转换之后的串行的adcdat数据进行或1的操作,然后将其赋给dacdat后,dacdat的波形图是一直处于高电平,而此时输出听到的声音无声音的状态。对经过A/D转换之后的串行的adcdat数据进行与0的操作,然后将其赋给dacdat后,dacdat的波形图是一直处于低电平,而此时输出听到的声音无声音的状态。 对于WM8731来说,先对时域音频模拟信号进行采样和量化,然后再采样来的数字信号进行预处理成为频域音频数字信号,再通过Huffman编码算法等压缩算法将数字信号转换成码字序列,继而经过多次封装成位信息流即adcdat,adcdat的值经过一些操作之后赋给dacdat,在这里我们对adcdat所做的一些处理实际上是对经过采样编码后的频域信号进行处理,然后对于这些位流信息进行解码,再送给DAC进行模数转换,然后从Lineout输出。
/
本文档为【本科毕业论文答辩】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索