为了正常的体验网站,请在浏览器设置里面开启Javascript功能!

8位二进制加减计数器[精品]

2017-11-22 3页 doc 26KB 85阅读

用户头像

is_196623

暂无简介

举报
8位二进制加减计数器[精品]8位二进制加减计数器[精品] 题目三:设计一个8位二进制加/减计数器,并仿真验证其功能。(2班1组)(要求:输入一个8位的矢量数值,在使能开关的控制下,可以实现自加或自减的循环计数) 1、设计思路:根据设计题目可知我们所设计的是一个计数器,可知它必须有一个时钟信号,还需要有一个使能端en和一个置数端m。当en为1并且m为1时,把m送给输出端,如果m=0,则开始计数;如果en=0时则保持不变。同时还要有一个加减使能端k,功能为当k=1时实行加法计数,k=0时实行减法计数。 2、VHDL程序 library IEEE; u...
8位二进制加减计数器[精品]
8位二进制加减计数器[精品] 题目三:设计一个8位二进制加/减计数器,并仿真验证其功能。(2班1组)(要求:输入一个8位的矢量数值,在使能开关的控制下,可以实现自加或自减的循环计数) 1、设计思路:根据设计题目可知我们所设计的是一个计数器,可知它必须有一个时钟信号,还需要有一个使能端en和一个置数端m。当en为1并且m为1时,把m送给输出端,如果m=0,则开始计数;如果en=0时则保持不变。同时还要有一个加减使能端k,功能为当k=1时实行加法计数,k=0时实行减法计数。 2、VHDL程序 library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity cnt_8 is port ( clk: in STD_LOGIC; --时钟输入端口 k:in STD_LOGIC; --加减控制输入端口 clr:in STD_LOGIC; --清零端口 en:in STD_LOGIC; --使能控制端口 m:in STD_LOGIC; --置数控制端口 n:in STD_LOGIC_VECTOR (7 downto 0); --置数输入 q: out STD_LOGIC_VECTOR (7 downto 0) --数值输出 ); end cnt_8; architecture cnt_8_arch of cnt_8 is signal qq:std_logic_vector(7 downto 0); begin process(clk,clr,k,n) begin if m='1' then qq<=n; --检测是否允许置数 elsif clr='1' then --检测是否清零 qq<="00000000"; elsif clk'event and clk='1' then --检测时钟上升沿 if en='1' then --允许计数 if k='1' then --加法计数 qq<=qq+'1'; else qq<=qq-'1'; --减法计数 end if; end if; end if ; end process; process(qq) begin q<=qq; --将计数值向端口输出 end process; end cnt_8_arch; 3、仿真波形(图片) 4、程序: 在程序设计中,当置数控制端口fr为高电平时,允许为该计数器置初值,把置数端口yz的值附给计数器,即高电平时有效。如果clr='1',则将对计数器清零,即复位;当fr为低电平时,如果clr为"0',则看是否有时钟上升沿,此时如果有时钟信号,又测得en='1',同时k='1',则允许加法计数;如果有时钟信号,又测得en='1',同时测得k='0',则减法计数。如果测得en='0',则跳出if语句,使qq保持原值,并将所计数的数值向输出端口输出。
/
本文档为【8位二进制加减计数器[精品]】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索