为了正常的体验网站,请在浏览器设置里面开启Javascript功能!

周莉莉-基于单片机控制电梯的控制系统设计

2017-11-24 50页 doc 225KB 29阅读

用户头像

is_191127

暂无简介

举报
周莉莉-基于单片机控制电梯的控制系统设计周莉莉-基于单片机控制电梯的控制系统设计 摘 要 电梯是现在高层建筑中的垂直运输工具,更是一种标志着现代物质文明建设发展的机电一体化的复杂运输设备。它涉及到了电子技术、机械工程、电力电子技术、微机技术、电力拖动系统和土建工程等多个科学领域。因此电梯的生产情况和使用数量已成为一个国家现代化程度的标识之一。随着现代化城市的高速发展,一幢幢高楼拔地而起,每天都有大量人流及物流需要输送,为了节约用地和适应经贸事业的发展,而这些高层建筑的垂直运输就成为了一个突出问题,电梯作为解决这一问题的主角在人们的工作和生活中的地位就变得更加的凸...
周莉莉-基于单片机控制电梯的控制系统设计
周莉莉-基于单片机控制电梯的控制系统 摘 要 电梯是现在高层建筑中的垂直运输工具,更是一种标志着现代物质文明建设发展的机电一体化的复杂运输设备。它涉及到了电子技术、机械工程、电力电子技术、微机技术、电力拖动系统和土建工程等多个科学领域。因此电梯的生产情况和使用数量已成为一个国家现代化程度的标识之一。随着现代化城市的高速发展,一幢幢高楼拔地而起,每天都有大量人流及物流需要输送,为了节约用地和适应经贸事业的发展,而这些高层建筑的垂直运输就成为了一个突出问题,电梯作为解决这一问题的主角在人们的工作和生活中的地位就变得更加的凸显了。 本设计就是对电梯这一垂直运输工具的运送规律的一种简单的模拟。设计中采用AT89C51单片机为核心控制元件及外围硬件电路构成了一个四层电梯控制系统的模型。通过对各楼层用户按键状态的检测,作为用户请求信息送到单片机,然后单片机通过改变端口的状态控制步进电机,实现了电机的调控功能,使电梯能够准确的停靠在请求楼层。软件部分使用汇编语言,利用中断方式来检测用户请求的按键信息,模拟按键引起的电平变化,送至单片机来确定楼层位置及请求方式,当电梯运行到各个楼层时,楼层数送数码管显示。硬件设计简单可靠,与软件相结合,基本实现了电梯的基本功能。 关键词:单片机;电梯;步进电机;控制 Based on single-chip microcomputer controling the elevator ′s control system designation Abstract Now the elevator is the vertical transportation tool of the high building, but also a marked about the modern material civilization construction development integration of machinery complex transportation equipment. It involves electronic technology, mechanical engineering, power electronic technology, microcomputer technology, electric driving system and civil engineering and so on many fields of science. Therefore elevator production condition and used quantity has become one of the logoes of the national modernization degree. With the rapid development of modern city, every day there are lots of people and logistics need to be saved by the transportation, and adapt to the economic and trade development of the cause of the ground, tall buildings have sprung up, but the vertical transportation became a prominent problems, the status of the elevator to solve this situation as the leading role in people's work and life has becoming more highlights. This design is a simple simulation about the transport rule of the vertical transportation of elevator. Using AT89C51 microcontroller as the core control components and peripheral hardware circuit constituted a four layers of elevator control system model in the designation. On each floor by detecting state of user buttons, and users request information is sent to microcontroller, then the state by changing the port of the MCU to control stepping motor, achieve the motor control function, so that elevator can accurate docked at request floor. Software part use assembly language, using interrupt mode to II 河南理 工大学毕业设计(论文)说明书 detect users request key information, simulate keystrokes cause level change, sent to the microcontroller to determine the floor position and request way, when elevator running to each floor, the sign of the floor is sent to digital tube to display. Hardware design is simple, reliable, and unifies software, basically achieved the basic function of the elevator. Keywords: SCM; Elevator; Motor-stepper; Controling III 河南理 工大学毕业设计(论文)说明书 目录 1 绪论 ........................................................ 1 1 .1 电梯概述 ................................................ 1 1.1.1电梯的定义与分类 ..................................... 1 1.1.2电梯的组成 ........................................... 1 1.1.3 电梯的国内外发展史................................... 2 2 设计说明 .................................................... 4 2.1 课题的设计思路........................................... 4 2.1.1 硬件部分............................................. 4 2.1.2 软件部分............................................. 4 2 .2 课题的开发环境 .......................................... 4 2.2.1 电路图绘制软件——PROTUES............................ 4 2.2.2 程序调试软件——WAVE................................. 5 2.3 设计要求................................................. 5 2.4 总体设计................................................. 6 2.4.1 #设计#............................................. 6 2.4.2 设计方框图........................................... 7 3 硬件器件介绍 ................................................ 8 3.1 单片机概述............................................... 8 3.1.1 单片机的介绍......................................... 8 3.1.2 单片机的硬件特性 ................................... 8 3.1.3 单片机的引脚及功能................................... 9 3.2 步进电机概述............................................ 12 3.2.1 步进电机............................................ 12 3.2.2 步进电机的工作原理.................................. 12 3.2.3 步进电机的分类 .................................... 12 3.2.4步进电机的特点 ....................................... 13 3.3 反应式步进电机 ........................................ 14 3.3.1 反应式步进电机的工作原理 ......................... 14 3.3.2反应式步进电机的驱动方式 .......................... 15 3.3.3 反应式步进电机的控制方式............................ 16 3.4 L298 ................................................... 18 3.5显示部分 ............................................... 20 3.5.1 数码管 ............................................. 20 3.5.2 发光二极管 ........................................ 22 3.6 CD4511 ................................................. 22 3.7 74LS245 ................................................ 23 I 4 电路设计 ................................................... 25 4.1 单片机最小系统设计.................................... 25 4.2 键盘电路的设计 ........................................ 25 4.3 步进电机驱动电路 ...................................... 27 4.4 显示电路设计 .......................................... 28 4.4.1 楼层显示电路 ...................................... 28 4.4.2 电梯外部请求显示电路 ............................... 28 4.5 超重报警电路 .......................................... 29 5 软件设计 ................................................... 31 5.1 初始化程序 ............................................ 31 5.2 键盘扫描子程序 ........................................ 31 5.3 程序要点说明 .......................................... 31 5.4 设计流程图 ............................................ 32 5.4.1 总流程图 ........................................... 32 5.4.2 模块流程图 ........................................ 33 6 结束语 ..................................................... 38 致 谢 ....................................................... 40 参考文献 ..................................................... 41 附录一 ....................................................... 42 附录二 源程序 ................................................ 43 II 河南理 工大学毕业设计(论文)说明书 1 绪论 1 .1 电梯概述 1.1.1电梯的定义与分类 电梯是一种以电动机为动力的垂直升降机,装有箱状吊舱,用于多层建筑乘人或载运货物,也有台阶式和踏步板装在履带上连续运行(俗称自动电梯)的形式。 电梯按额定速度分为低速电梯(1,/,以下)、快速电梯(1,2,/,)和高速电梯(2,/,以上)等;按用途分类分为乘客电梯、载货电梯、观光电梯等;按驱动方式分为交流电梯、直流电梯、液压电梯等,按操控方式分为手柄开关操纵控制电梯、按钮控制电梯、信号控制电梯等。 1.1.2电梯的组成 现代电梯主要由曳引机(绞车)、导轨、对重装置、安全装置(如限速器、安全钳和缓冲器等)、信号操纵系统、轿厢与厅门等组成。这些部分分别安装在建筑物的井道和机房中。具体划分为以下几个系统: (1)曳引系统 曳引系统的主要功能是:输出与传递动力,使电梯运行。 曳引系统主要由曳引机、曳引钢丝绳,导向轮,反绳轮组成。 (2)导向系统 导向系统的主要功能是:限制轿厢和对重的活动自由度,使轿厢和对重只能沿着导轨做升降运动。 导向系统主要由导轨、导靴和导轨架组成。 (3)轿厢 轿厢是运送乘客和货物的电梯组件,是电梯的工作部分。 轿厢由轿厢架和轿厢体组成。 1 (4)门系统 门系统的主要功能是封住层站入口和轿厢入口。 门系统由轿厢门、层门、开门机、门锁装置组成。 (5)重量平衡系统 系统的主要功能是相对平衡轿厢重量,在电梯工作中能使轿厢与对重的量差保持在限额之内,保证电梯的曳引传动正常。 系统主要由对重和重量补偿装置组成。 (6)电力拖动系统 电力拖动系统的功能是提供动力,实行电梯速度控制。 电力拖动系统由曳引电动机、供电系统、速度反馈装置、电动机调速装置等组成。 (7)电气控制系统 电气控制系统的主要功能是对电梯的运行实行操纵和控制。 电气控制系统主要由操纵装置、位置显示装置、控制屏(柜)、平层装置、选层器等组成。 (8)安全保护系统 保证电梯安全使用,防止一切危及人身安全的事故发生。 由限速器、安全钳、缓冲器、端站保护装置组成。 1.1.3 电梯的国内外发展史 我国最早的一部电梯是在上海出现的,是由美国奥蒂斯公司于1901年安装的。1932年美国奥蒂斯公司又在天津利顺德酒店安装了一台电梯,并且至今还在安全的运行转着。1951年,党中央提出了要在天安门安装一台由我国自行制造的电梯,天津从庆生电机厂荣接此任,并且不辱使命,顺利的完成了任务。在十一届三中全会以后,沐浴着改革开放的春风,电梯业在我国更是飞速发展,进入了一个全新的时期。 在国外19世纪中期就采用了液压电梯,至今仍在一些低层建筑物上应用着。比如在1852年,美国的E.G.奥蒂斯就研制出钢丝绳提升的安全 2 河南理 工大学毕业设计(论文)说明书 升降机;80年代,驱动装置又有了进一步的改进,如电动机通过蜗杆传动带动缠绕卷筒;19世纪末,又采用了摩擦轮传动,这样大大增加了电梯的提升高度。 随着科技的发展,时代的进步,相信未来的电梯将会发展的更加完美、节能。群控系统的智能化,高速电梯的速度越来越高,蓝牙技术的广泛应用,绿色电梯的普及等等,这些在未来的电梯业中将不再是新奇。 3 2 设计说明 2.1 课题的设计思路 2.1.1 硬件部分 首先,对实际的电梯系统进行模拟。一般情况下,一个电梯应该具备按键、显示二极管、数码管等部件。由于本设计是一个模拟系统,故没有设计具体的轿厢等机械部分。其次结合实物特征,选择恰当的芯片,先设计好模块,再整体布局,以使设计整齐美观。例如在设计时用到了很多按键,而单片机的I/O口有限,因此在设计时用2×5键盘来进行按键请求管理,并用两个独立按键来控制电梯的开始和停止,这样分配大大降低了软件编程的难度;设计显示部分时,对楼层数字显示用CD4511芯片驱动,而厢外按键请求显示用一片74系列芯片统一管理。 2.1.2 软件部分 采用汇编语言编写程序。在编写时对键盘采用中断方式,每隔50,,扫描一次按键的状态,并将扫描结果存进约定的存储空间。对电机调速模块采用改变相邻两次脉冲信号之间的时间间隔,即可改变脉冲信号的频率。 设计中采用这种软硬件结合的方式,硬件电路简单明了,不需要进行I/O口扩展,并且还可以降低软件编程的难度,实现设计要求,完成设计任务。 2 .2 课题的开发环境 2.2.1 电路图绘制软件——PROTUES Protues软件是英国Labcenter electronics公司出版的EDA工具软件。它不仅具有其他EDA工具软件的仿真功能,还能仿真单片机及外围器 4 河南理 工大学毕业设计(论文)说明书 件,并可实现单独仿真。在用Protues绘制好原理图后,调入已编译好的目标代码文件:*.HEX,可以在Protues原理图中看到模拟的实物运行状态和过程,是一种很直接的仿真调试软件。因此在教学和实验中应用广泛,鉴于本人对此软件相对熟悉,因此设计中采用了此软件设计硬件电路图。 2.2.2 程序调试软件——WAVE Wave软件是南京韦福实业有限公司生产的单片机开发系统仿真软件。它自身具有以下的优点: (1)Wave6000及Keil uVision双平台。Wave 6000 IDE环境,中/英文界面可任选,用户源程序的大小不再有任何限制。仿真器同时还可以直接工作于Keil uVision调试环境下,适应不同的用户操作习惯。 (2)双工作模式。软件模拟仿真(不用仿真器也能模拟运行用户程序)和硬件仿真。 (3)真正集成调试环境。集成了编辑器、编译器、调试器、源程序编辑、编译、下载、调试等功能,并且可以全部在一个环境下完成。可仿真MCS-51系列,MCS196系列,Microchip PLC系列CPU。 (4)多语言多模块混合调试。支持ASM(汇编)、PLM、C语言多模块混合源程序调试,在线直接修改、编译、调试源程序。如果源程序有错,还可直接定位错误所在行。 (5)强大的书签、断点管理功能。书签、断点功能可快速定位程序,为编写、查找、比较程序提供帮助。 鉴于此软件的强大功能和适用性,以及对它的熟悉,所以在设计中采用WAVE仿真软件调试源程序。 2.3 设计要求 本设计模拟的是一个四层电梯设计调度系统,能够完成各楼层乘客的接送任务。形象地说,就是要对不同楼层乘客的不同需求,作出合理高效 5 的判断,使所有顾客在整体运用时间最短的条件下将其运往各自的目的地。具体设计要求如下: (1)电梯运行受开始键的控制,只有控制此键有效时电梯才会运行。 (2)电梯在上升过程中,响应经过楼层或高出目的楼层的同向呼叫,停靠同一方向的所选楼层,不响应其他呼叫。 (3)电梯在下降过程中,响应经过楼层或低于目的楼层的同向呼叫,停靠同一方向的所选楼层,不响应其他呼叫。 (4)电梯中途停靠,需延时一段时间(设计中设定为5s)作为上下客时间,并通过开关门指示灯颜色的变换提醒时间到达。 (5)电梯的上下行通过控制反应式步进电机的正反转来模拟。 (6)电梯在响应楼层请求时受电机转速控制,即通过电机调速实现快速响应请求的设计要求。 (7)电梯在上升或下降的过程中停靠期间,其上升或下降指示灯灭。 (8)如果无任何键按下,电梯不运行处于等待状态,并有指示灯指示。 (9)能实现超重报警。在电梯中途停靠进出乘客时若出现超重,则电梯就不能关门,蜂鸣器响报警提示;当重量减轻时,报警自动消失,电梯门关闭。 (10)在电梯运行过程中一旦按下停止按键,电梯下降到一楼就停止运行,直到再次按下开始键。 2.4 总体设计 2.4.1 设计方案 本设计采用AT89C51单片机作为核心控制器件,配以适当的外围器件完成设计任务。硬件部分设计时如果每个选层按钮都采用独立的按键设置,虽然可以很大程度上简化扫描按键程序,采集信号也容易得多,但是由于单片机接口有限,模拟电梯自动控制系统所需按键较多,如此会有接口不足的问题,所以在本设计中采用了2×5矩阵键盘实现电梯内部四个 6 河南理 工大学毕业设计(论文)说明书 选层按钮和电梯外六个上下行按钮的按键请求,正好十个按钮。但是在设计时为了降低编程难度,电梯的开始、停止仍采用独立按键控制。显示部分由于CD4511驱动显示方便,所以显示楼层电路采用CD4511驱动数码管。虽然是模拟设计,但是为了更接近实际的电梯控制系统,设计中又添加电梯厢外上下行请求显示,可用六个发光二极管表示请求按键是否按下,有则亮,无则暗,另外用两个发光二极管的亮灭来指示电梯上下行的方向。发光二极管需要采用驱动单元来驱动,可以采用三级驱动管电路,但是由于要驱动的发光管较多,所以设计时采用74LS245芯片作为驱动,使硬件电路简单、美观、整齐。另外考虑单片机的驱动能力很弱,无法直接驱动步进电机,因此在设计步进电机调速模块时使用L298专用驱动芯片来驱动电机。 软件部分采用的是中断方式来检测用户的请求信息。但是要想准确的采集按键请求状态,就必须时时刻刻查询键盘的状态。 2.4.2 设计方框图 设计方框图如图2-1所示, 主要由单片机的最小系统电路,键盘电路,楼层显示电路,按键指示电路,电机调控电路,超重报警电路,厢门控制电路等模块组成,各模块实现不同的控制要求,相互协调实现控制要求。 时钟电路键盘电路 复位电路显示电路单 片 机楼层显示电机调控电电路路 超重报警厢门控制检测电路电路 图2-1 总体框图 7 3 硬件器件介绍 3.1 单片机概述 3.1.1 单片机的介绍 单片机是一种集成电路芯片,采用超大规模集成电路技术把具有数据处理能力的CPU、随机存储器RAM、只读存储器ROM、I/O口和中断系统、定时器/计数器(还包含有显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)等集成到一块硅片上构成的一个小而完善的计算机系统。 早期的单片机都是4位或8位的。其中最成功的是INTEL的8031,因为简单可靠、性能不错获得了很大的好评。此后在8031上发展出了MCS-51系列单片机系统。这一系统的单片机系统直到现在还在广泛使用。随着工业控制领域要求的提高,开始出现了16位单片机,但因为性价比不理想并未得到很广泛的应用。90年代后随着消费电子产品大发展,单片机技术得到了巨大提高。随后随着ARM系列的广泛应用,32位单片机迅速取代16位单片机的高端地位,并且进入主流市场。当代单片机系统已经不再是只在裸机环境下开发和使用,大量专用的嵌入式操作系统已被广泛应用。 单片机是靠程序运行控制端口状态的,并且程序可以修改,因此可以通过编写不同的程序实现不同的功能,尤其是一些独特的功能。单片机对成本也是极其敏感的,因此目前占统治地位的软件仍是汇编软件。 3.1.2 单片机的硬件特性 (1)与MCS-51 兼容; (2)4K字节可编程闪烁存储器; (3)全静态工作:0Hz-24Hz; 8 河南理 工大学毕业设计(论文)说明书 (4)三级程序存储器锁定; (5)128*8位内部RAM; (6)32可编程I/O线; (7)两个16位定时器/计数器; (8)4个中断源; (9)可编程串行通道; (10)低功耗的闲置和掉电模式; (11)片内振荡器和时钟电路; (12)寿命:1000写/擦循环; (13)数据保留时间:10年。 3.1.3 单片机的引脚及功能 单片机的引脚图如图3-1所示: P0.0/AD0XTAL1P0.1/AD1 P0.2/AD2XTAL2P0.3/AD3P0.4/AD4 RSTP0.5/AD5 P0.6/AD6PSENP0.7/AD7 ALEP2.0/A8 P2.1/A9 EAP2.2/A10 P2.3/A11AT89C51P2.4/A12 P2.5/A13 P2.6/A14 P2.7/A15 P3.0/RXDP1.0 P1.1P3.1/TXDP1.2P3.2/INT0 P3.3/INT1P1.3P3.4/T0P1.4P1.5P3.5/T1P3.6/WRP1.6P3.7/RDP1.7 9 图3-1 单片机引脚图 管脚说明: VCC:供电电压。 GND:接地。 P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。 P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入;P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。 P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入,并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。 P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入由于外部下拉为低电平,P3口将输出电流这是由于上拉的缘故。 P3口也可作为AT89C51的一些特殊功能口,管脚第二功能如下所示: P3.0 RXD(串行输入口) P3.1 TXD(串行输出口) 10 河南理 工大学毕业设计(论文)说明书 P3.2 /INT0(外部中断0) P3.3 /INT1(外部中断1) P3.4 T0(记时器0外部输入) P3.5 T1(记时器1外部输入) P3.6 /WR(外部数据存储器写选通) P3.7 /RD(外部数据存储器读选通) P3口同时为闪烁编程和编程校验接收一些控制信号。 RST:复位输入。当振荡器复位时,要保持RST脚两个机器周期的高电平时间。 ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的低字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR-8EH地址上置0。此时, ALE只有在执行MOVX,MOVC指令时ALE才起作用。如果微处理器在外部执行状态ALE禁止,置位无效。 /PSEN:外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。 /EA/VPP: 当/EA保持低电平时,则在此期间只访问外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。当/EA端保持高电平时,此间访问内部程序存储器4KB的地址范围。在FLASH编程期间,此引脚也用于施加12V编程电源(VPP)。 XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。 XTAL2:来自反向振荡器的输出。 11 3.2 步进电机概述 3.2.1 步进电机 步进电机是将电脉冲信号转变为角位移或线位移的开环控制元件。在非超载的情况下,电机的转速、停止的位置只取决于脉冲信号的频率和脉冲数,而不受负载变化的影响,当步进驱动器接收到一个脉冲信号,它就驱动步进电机按设定的方向转动一个固定的角度,称为“步距角”,它的旋转是以固定的角度一步一步运行的。它可以通过控制脉冲个数来控制角位移量,从而达到准确定位的目的,同时可以通过控制脉冲频率来控制电机转动的速度和加速度,从而达到调速目的。 3.2.2 步进电机的工作原理 通常电机的转子为永磁体,当电流流过定子绕组时,定子绕组产生一矢量磁场。该磁场会带动转子旋转一角度,使得转子的一对磁场方向与定子的磁场方向一致。当定子的矢量磁场旋转一个角度,转子也随着该磁场转一个角度。每输入一个电脉冲,电动机转动一个角度前进一步。它输出的角位移与输入的脉冲数成正比,转速与脉冲频率成正比。改变绕组通电的顺序,电机就会反转。因此可用控制脉冲数量、频率及电动机各相绕组的通电顺序来控制步进电机的转动。 3.2.3 步进电机的分类 常用的步进电机包括反应式步进电机(VR)、永磁式步进电机(PM)和混合式步进电机(HB)三种。 (1)永磁式步进电机 :7.5 永磁式步进电机一般为两相,转矩和体积较小,步进角一般为 :15或。永磁式步进电机输出力矩大,动态性能好。 (2)反应式步进电机 12 河南理 工大学毕业设计(论文)说明书 反应式步进电机一般为三相,可实现大转矩输出,步进角一般为 :,但噪声和振动都很大。它的转子磁路由软磁材料制成,定子上1.5 有多相励磁绕组,利用磁导的变化产生转矩。反应式步进电机结构简单,生产成本低,步距角小,但动态性能差。 (3)混合式步进电机 混合式步进电动机综合了反应式、永磁式步进电动机两者的优点,它的步距角小,出力大,动态性能好,是目前性能最高的步进电动机。它有时也称作永磁感应子式步进电动机。它分为两相和五相, ::两相步进角一般为而五相步进角一般为。 0.721.8 3.2.4步进电机的特点 步进电机的特点如下: (1)一般步进电机的精度为步进角的3-5%,且不累积。 (2)步进电机外表允许的最高温度。 步进电机温度过高首先会使电机的磁性材料退磁,从而导致力矩下降乃至于失步,因此电机外表允许的最高温度应取决于不同电机磁性材料的退磁点。一般来讲,磁性材料的退磁点都在130?以上,有的甚至高达200?以上,所以步进电机外表温度在80-90?完全正常。 (3)步进电机的力矩会随转速的升高而下降。 当步进电机转动时,电机各相绕组的电感将形成一个反向电动势,频率越高,反向电动势越大。在它的作用下,电机随频率(或速度)的增大而相电流减小,从而导致力矩下降。 (4)步进电机低速时可以正常运转,但若高于一定速度就无法启动,并伴有啸叫声。 步进电机有一个技术参数:空载启动频率,即步进电机在空载情况下能够正常启动的脉冲频率,如果脉冲频率高于该值,电机不能正常启动,可能发生丢步或堵转。在有负载的情况下,启动频率应更低。 13 如果要使电机达到高速转动,脉冲频率应该有加速过程,即启动频率较低,然后按一定加速度升到所希望的高频(电机转速从低速升到高速)。 3.3 反应式步进电机 由于反应式步进电机是步进电机中应用普遍的一种,且结构简单。因此在本设计中采用四相反应式步进电机控制电梯的上下行和速度。 反应式步进电机是一种传统的步进电机,由磁性转子铁芯通过与由定子产生的脉冲电磁场相互作用而转动。由定子和转子两部分组成。定子由硅钢片叠加而成,有8个等分的磁极:A、A′、B、B′、C、C′、D、D′。相对的两个磁极组成一对,共有四对。每对磁极上都绕有同一绕组,即成一相,所以有四对磁极、四个绕组,形成四相。每个磁极的内表面都分布着大小、间距相同的多个小齿。转子圆周表面也均匀分布着与定子小齿形状相似、齿间距相同的小齿,并规定相邻两齿间的夹角,即齿距角为: 360: ,= (3-1) tZR :转子齿数。 ZR 则转子每步转过的空间角度(机械角度),即步距角为: ,360:t, ,= (3-2) bNZNR N:运行的拍数。 3.3.1 反应式步进电机的工作原理 反应式步进电机当某一相定子绕组通电时,其对应的磁极产生磁场,并与转子形成磁路。如果该相定子的小齿和转子的小齿没有对齐,称为错 14 河南理 工大学毕业设计(论文)说明书 齿状态,则在磁场的作用下转子将转过一定的角度,使转子与定子的小齿相互对齐,称为对齿状态。对齿时,定子与转子的磁路磁阻最小,而错齿时磁阻最大。步进电机的工作就是靠磁路由较大磁阻向较小磁阻转变中转过一定角度。给处于错齿状态的一相通电,则转子在电磁力的作用下向磁阻最小的位置移动,即向对齿的状态转动,向前转过一定角度。该相转到对齿状态后,再给另一相错齿状态的定子绕组通电则转子又向前转动一定角度。由此可见,错齿的存在是步进电机能够旋转的前提。 3.3.2反应式步进电机的驱动方式 单片机的输出电流只有几mA,其驱动能力很弱,因此必须用功率放大驱动电路来驱动步进电机。步进电机与控制电路,功率放大驱动电路组成一体,便是步进电机的驱动系统。该系统包括三个部分:脉冲信号产生电路,脉冲信号分配电路,功率放大驱动电路,方框图如图3-2所示。采用单片机来控制步进电机,是一种软硬件结合的方法。用软件代替环形分配器,达到了对步进电机的最优控制。 脉冲信号发生功率放大驱动脉冲信号分配电路电路电路 图3-2 步进电机驱动系统方框图 在搜集资料时发现了很多种驱动电路,但多数都是用三极管驱动,采用这一类电路的最大特点就是结构简单,如图3-3所示的单相连接电路。如果步进电机相数多的话就会使硬件电路的连接更加的复杂,反而成了缺点。因此为了使硬件电路简单明了,常采用一些专用的驱动芯片如:ULN2003、L298等,本设计采用L298驱动芯片来驱动步进电机。 15 (a) (b) 图3-3 单相驱动电路 3.3.3 反应式步进电机的控制方式 为了控制反应式步进电机的转动,使其实现数字到角度的转换,由单片机控制给电机绕组施加有序的脉冲电流,则步进电机转过的角度数正比于脉冲数,转动的速度正比于脉冲的频率,转动方向与脉冲顺序有关。 对四相步进电机施加有序的脉冲电流可有单向四拍、双向四拍、双向八拍三种方式。单向四拍是按单相绕组顺序施加脉冲电流,一周 16 河南理 工大学毕业设计(论文)说明书 期施加四次,顺序为正转A-B-C-D-(A),反转D-C-B-A-(D);双向四拍中双向是指每次对两相绕组同时通电按双相绕组顺序施加脉冲电流,一周期施加四次,顺序为正转AB-BC-CD-DA-(AB),反转为DC-CB-BA-AD-(DC);双向八拍是按单相绕组与双相绕组交替方式施加脉冲电流,一周期施加八次,顺序正转A-AB-B-BC-C-CD-D-DA-(A),反转D-DC-C-CB-B-BA-A-AD-(D)。三种控制方式下输出状态表如表3-1所示: 表3-1 端口输出状态 控制方式 步 序 电动机绕组 P3.3P3.2P3.1P3.0 1 A 0 0 0 1 单相四拍 2 B 0 0 1 0 3 C 0 1 0 1 4 D 1 0 0 0 1 AB 0 0 1 1 双相四拍 2 BC 0 1 1 0 3 CD 1 1 0 0 4 DA 1 0 0 1 1 A 0 0 0 1 2 AB 0 0 1 1 3 B 0 0 1 0 双相八拍 4 BC 0 1 1 0 5 C 0 1 0 0 6 CD 1 1 0 0 7 D 1 0 0 0 8 DA 1 0 0 1 由此知控制步进电机的正反转,只需改变通入脉冲信号的顺序;而调 60f节步进电机的转速由速度计算公式n=可知转速取决于脉冲频率、转ZNR 17 子齿数和拍数。当确定转子齿数和拍数时,转速与频率成正比。这就是本设计中运用的改变脉冲频率来调节步进电机转速的方法。 3.4 L298 L298是SGS-THOMSON Microelectronics所出产的双全桥步进电机专用驱动芯片(Dual Full-Bridge driver),内部包含四信道逻辑驱动电路,是一种二相和四相步进电机的专用驱动器,可同时驱动两个二相或一个四相步进电机,内含二个H-Bridge的高电压、大电流双全桥式驱动器,接收标准TTL逻辑电平信号,可驱动46V、2A以下步进电机,且可以直接透过电源来调节输出电压。该芯片可直接由单片机的I/O端口来提供模拟时序信号。如图3-4为L298引脚图: VCCVS IN1OUT1 IN2 IN3 OUT2IN4 ENAL298 ENB OUT3 SENSAOUT4 SENSB GND 图3-4 L298引脚图 引脚功能: SENSA、SENSB:此两端与地连接电流检测电阻,并向驱动芯片反馈检测到的信号; 18 河南理 工大学毕业设计(论文)说明书 OUT1、OUT2:此两脚是全桥式驱动器A的两个输出端,用来连接负载; Vs:电机驱动电源输入端; IN1、IN2:输入标准的TTL逻辑电平信号,用来控制全桥式驱动器A的开关; ENA、ENB:使能控制端;输入标准TTL逻辑电平信号,低电平时全桥式驱动器禁止工作; GND:接地端;芯片本身的散热片与8脚相通; Vss:逻辑控制部分的电源输入端口; IN3、IN4:输入标准的TTL逻辑电平信号,用来控制全桥式驱动器B的开关; OUT3、OUT4: 此两脚是全桥式驱动器B的两个输出端,用来连接负载。 其真值表如表3-2所示: 表3-2 L298真值表 ENA IN1 IN2 电机状态 ENB IN3 IN4 电机状态 1 1 0 正转 1 1 0 正转 1 0 1 反转 1 0 1 反转 1 1 1 急停 1 1 1 急停 1 0 0 / 1 0 0 / 0 × × 自由停 0 × × 自由停 19 3.5显示部分 设计中楼层显示电路采用的是七段数码管,按键显示部分采用发光二极管。 3.5.1 数码管 常用的LED显示器是由八个发光二极管组成。其中七个长条形的发光管排列成“日”字形,另一个黑点的发光管在显示器的右下角作为显示小数点用,它能显示各种数字及部分英文字母。LED显示器有两种不同的形式:一种是8个发光二极管的阴极都连在一起的,称之为共阴极LED显示器;一种是8个发光二极管的阳极都连在一起的,成为共阳极LED显示器。八段数码内部结构图如图3-5所示,字型结构图如图3-6所示: 3,8 abcdefgdp 764291051 (a) 共阴极 20 河南理 工大学毕业设计(论文)说明书 3,8 acefgdpdb 742196510 (b) 共阳极 图3-5 数码管内部结构图 a b f g ce h dpd 图3-6 数码管字型结构图 共阴和共阳结构的LED显示器各笔画段名hgfedcba安排位置是相同的。当二级管导通时,相应的笔画段发亮,由发亮的笔画段组合而显示各种字符。8个笔画段hgfedcba对应于一个字节(8位)的D7 D6 D5 D4 D3 D2 D1 D0,于是用8位二进制就可以表示欲显示字符的字形代码。如,对于共阴LED显示器,当公共阴极接地(为零电平),而阳极hgfedcba各段 21 为01110011时,显示器显示“P“字符,即对于共阴LED显示器,”P”字符的字形码是73H。如果是共阳LED显示器,公共阳极接高电平,显示“P”字符的字形代码应为10001100(8CH)。 3.5.2 发光二极管 发光二极管简称为LED。由镓(Ga)、砷(AS)与磷(P)的化合物制成的二极管。当电子与空穴复合时能辐射出可见光,因而可以用来制成发光二极管。在电路及仪器中作为指示灯,或者组成文字或数字显示。磷砷化镓二极管发红光,磷化镓二极管发绿光,碳化硅二极管发黄光。 发光二极管是半导体二极管的一种,可以把电能转化成光能,常简写为LED。它与普通二极管一样是由一个PN结组成,也具有单向导电性。当给发光二极管加上正向电压后,从P区注入到N区的空穴和由N区注入到P区的电子,在PN结附近数微米内分别与N区的电子和P区的空穴复合,产生自发辐射的荧光。不同的半导体材料中电子和空穴所处的能量状态也是不同的。当电子和空穴复合时释放出的能量越多,则发出的光的波长越短。在设计中使用较多的是发红光和绿光的二极管。 3.6 CD4511 CD4511是一个用于驱动共阴极LED(数码管)显示器的BCD码-七段码译码器。特点有:具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路,能提供较大的拉电流,可直接驱动LED显示器。其引脚图如图3-7所示,引脚功能为: LT:做灯泡测试用。当LT=0,则不论其它输入状态为何,其输出abcdefg=1111111,使七段显示器全亮,即显示8,以便观测七段显示器是否正常。当LT=1,则正常工作。 BI:空白输入控制。当BI=0 (LT 为1 时) 则不论DCBA 输入为何,其输出abcdefg皆为0,即七段显示器完全不亮,此脚可供使用者控制仅 22 河南理 工大学毕业设计(论文)说明书 对有效数据译码,避免在无意义的数据输入时显示出来造成字型的紊乱。 LE:数据锁存控制。在CD4511 中,不但具有译码功能,更具有数据记忆功能。当LE=0 时(LT=1 且 BI=1),DCBA 数据会被送入IC 的缓存器中保存,以供译码器译码;当LE=1 时,则IC 中的暂存器会关闭,仅保存原来在LE=0 时的DCBA数据供译码器译码。换句话说当LE=1 时,不论DCBA 的输入数据为何,皆不影响其输出,其输出abcdefg 仍保留原来在LE 由0 转为1 以前的资料。 VSSa Ab Bc CdCD4511 De fLT BIg LEVDD 图3-7 CD4511引脚图 3.7 74LS245 74LS245常用来驱动LED或其他的设备,它是8路同相三态双向总线收发器,可双向传输数据。 74LS245还具有双向三态功能,既可以输出,也可以输入数据。当片选端/CE低电平有效时,DIR=“0”,信号由 B 向 A 传输; DIR=“1”,信号由 A 向 B 传输。当CE为高电平时,A、B均为高阻态。其引脚图如图3-8所示: 23 A0 B0A1B1A2 B2A3 B3A474LS245B4A5 B5A6 B6A7 B7E DIR 图3-8 74LS245芯片引脚图 24 河南理 工大学毕业设计(论文)说明书 4 电路设计 4.1 单片机最小系统设计 单片机的最小系统主要是由复位电路和时钟电路两部分组成。电路如图4-1所示复位电路采用上电加按键电平复位,其中9 脚为单片机的复位端;时钟电路晶振采用的是12MHZ的,XTAL2和XTAL1分别为单片机的18和19脚。 图4-1 单片机最小系统电路 4.2 键盘电路的设计 本电路采用的是2×5键盘矩阵。电路图如图4-3所示,P0.1-P0.7接单片机的P0端口,单片机采用行和列扫描法来判别这10个按键中哪个键按下,并将其状态存入20H-22H的位存储空间里面,然后可根据每个按键的功能通过单片机控制电梯的运行。每个按键的功能说明如下: S0:电梯内部选择去一楼按键,此按键按下表示厢内的乘客要去一楼; 25 S1:电梯内部选择去二楼按键,此按键按下表示厢内的乘客要去二楼; S2:电梯内部选择去三楼按键,此按键按下表示厢内的乘客要去三楼; S3:电梯内部选择去四楼按键,此按键按下表示厢内的乘客要去四楼; S4: 一楼向上呼叫按键,此键按下表示厢外一楼有人要乘坐电梯上楼; S5: 二楼向上呼叫按键,此键按下表示厢外二楼有人要乘坐电梯上楼; S6:二楼向下呼叫按键,此键按下表示厢外二楼有人要乘坐电梯下楼; S7: 三楼向上呼叫按键,此键按下表示厢外三楼有人要乘坐电梯上楼; S8:三楼向下呼叫按键,此键按下表示厢外三楼有人要乘坐电梯下楼; S9:四楼向下呼叫按键,此键按下表示厢外四楼有人要乘坐电梯下楼。 如框图4-2所示: 上123厢行 外 按 键下234 行 厢1342内 按 键 图4-2 按键显示屏 设计硬件键盘电路图如图4-3所示: 26 河南理 工大学毕业设计(论文)说明书 图4-3 键盘矩阵电路 4.3 步进电机驱动电路 本模块电路用L298驱动四相步进电机,在硬件电路设计时L298输出端与单片机四相连接时上下分别连接了四个续流二极管。这样设计的目的是为了将步进电机的反向电动势所产生的电流分流到地或电源正极,不损坏L298,保证芯片正常工作。电路图如图4-4所示: 图4-4 步进电机驱动电路 27 4.4 显示电路设计 4.4.1 楼层显示电路 设计中用一位LED数码管来显示楼层数。用CD4511驱动显示,CD4511的输入端口接单片机P1口的低四位。软件设计时只需将CD4511四个输入端对应的端口置“1”或清“0”,就可在数码管上看到相应的字型码。由于采用共阴极数码管,所以公共端接低电平。具体电路如图4-5所示: 图4-5楼层显示电路 4.4.2 电梯外部请求显示电路 电路如图4-6所示,本电路采用74LS245加限流电阻驱动发光管,高电平有效,只要给单片机的P2口任意位置“1”,相应的发光管就会被点亮。8个发光管从上到下依次为电梯上下行和厢外上下行请求显示。 每个发光管显示都是独立的,只要有外部请求按键按下,由程序控制其与之相对应的发光管就会点亮。 28 河南理 工大学毕业设计(论文)说明书 需要注意的是:在绘制硬件电路图时74LS245与发光管之间的限流电阻不能过大,更不能省略,一般选在200到300欧之间为宜。根据74LS245的工作特性要想使高低电平从B传到A,应使E非端与DIR端接低电平74LS245才能正常工作,所以本电路将其直接接地。 图4-6 二极管显示电路 4.5 超重报警电路 在我们的生活中常用的客乘电梯限重1000Kg(即限载13人), 而超重时会给曳引系统造成负担,严重时会出现故障。因此电梯只能在规定载重量之内运行,超出时,电梯会自动报警,并不能运行。在本设计中用力敏电阻去感应厢内的重量,并连接有报警电路,一旦超重就会报警,且电梯不再运行,直到报警解除,电梯才会回复正常运行状态。电路图如图4-7所示: 29 图4-7 超重报警电路 30 河南理 工大学毕业设计(论文)说明书 5 软件设计 5.1 初始化程序 由于在设计中用到了定时器,所以程序中要对定时器的工作模式、工作方式和启动控制方式进行说明,给定时器送计数初值,开中断,启动定时器工作等,程序中用MOV IE, #88H开中断,允许定时器中断;然后给数码管送显示数字“1”(初始状态电梯在一楼),扫描键盘,转入处理请求子程序,进入程序执行阶段。 5.2 键盘扫描子程序 设计中由于采用键盘矩阵来实现外呼内选控制,而电梯的运行方向是根据这些呼叫按键和选择按键来决定的,所以单片机要不断的扫描键盘来获取各层呼叫状态,从而来控制电梯的运行。故键盘矩阵扫描是本系统软件设计的重要部分之一。另外需要把键盘扫描到的各层按键状态存储起来,然后和电梯的运行状态比较,判断是否响应呼叫楼层(电梯只响应同方向呼叫)。存储单元的分配如下: 20H(电梯厢外上升请求): 20H.0---一楼;20H.1-----二楼;20H.2----三楼;20H.3----四楼。 21H(电梯厢外下降请求): 21H.0---一楼;21H.1-----二楼;21H.2----三楼;21H.3----四楼。 22H(电梯厢内楼层请求): 22H.0---一楼;22H.1-----二楼;22H.2----三楼;22H.3-----四楼。 20H~22H的各位数值为“0”表示“无请求”,数值为“1”表示“有请求”。 5.3 程序要点说明 在电梯开始工作时,中断服务程序每50ms扫描一次按键状态,并在约定的存储单元。 31 堆栈栈底:70H单元。 寄存器R3记录“停止”键是否按下。 存储单元2AH的低四位存放楼层数,间接的用它来指示楼层位置。 5.4 设计流程图 5.4.1 总流程图 开始 初始化 在一楼等待,显示楼层 “1” NP3.4=0? Y N扫描按键,判断是否有请求, Y 处理请求 NP3.5=0? Y 32 N 有键按下,河南理 工大学毕业设计(论文)说明书 5.4.2 模块流程图 Y 5.4.2.1键盘扫描模块 消抖 N 确有键按下, Y 扫描,存键状态 延时等待 N键释放, Y 返回 33 5.4.2.2 请求处理模块 N 2、3、4楼有请求,降到1楼,显示1 YY N升到2楼,显示21楼有请求, N 3、4楼有请求,降到2楼,显示2 Y YN 升到3楼,显示31、2楼有请求, N 降到3楼,显示34楼有请求, YY 1、2、3楼有请求,升到4楼,显示4 N 34 河南理 工大学毕业设计(论文)说明书 5.4.2.3 操作模块 35 NNP2.1=0?P2.0=0? YY 电机正转电机反转 NNR0=3?R0=1?R0=2?N YYY COUNT=20COUN=40COUNT=30 前5次增速 N COUNT=5? 保持速度,匀速运 行 N 余下5次, Y 减速运行 N COUNT=0? Y 36 河南理 工大学毕业设计(论文)说明书 显示楼层,开电梯门 延时5s,进出乘客 N 5s到了, Y Y 等待超重, N 关电梯门 37 6 结束语 通过这次设计使我在各方面都有了很大的提高,特别是在单片机编程方面让我有了很大的进步。以前学习理论知识的时候我就对一些指令和中断的应用懵懵懂懂。现在自己动手编程了,通过查找资料和对单片机理论知识的又一次学习,让我对以前不懂的知识又进行了一次全面的复习和新的学习。 在绘制硬件电路图方面我也遇到了一些问题。例如:在确立了设计方案后开始绘制电路图时,发现在PROTUES元件库中有些元器件无法找到,就需要更换元器件,改变电路,这样反复的改变设计,直到符合设计思想;然后在绘制过程中发现从元件库中找到的元器件管脚与资料书中查到的不一致,这样就增加了画图的难度,为了做到线与线不交叉连接,就会使电路图整体看起来密密麻麻,不整齐美观;再者在连接共阴极七段数码管时,由于无法确定其公共端的极性,连接以后发现显示电路不起作用,后来在同学的指导和帮助下找到了解决方法;最后为了改变电路图的整体美观性,在同学的知道下,用软件中的另一种连线绘制方法,对需要连接的引脚作相同的标号,就可使它们可靠连接,大大降低了连线的难度。 在软件设计方面,由于刚开始我对编程没什么经验,所以没有很好的设计思路就开始编程了,结果遇到了很多的问题,后来在老师的指导下又与有经验的同学的学习讨论,重新调整态度,制定新的思路和软件流程图,按照自己制定的流程图和思路,我对程序进行分模块去编写,然后再汇总到一块,但是在WAVE仿真软件中编译时出现了很多的问题,有的是操作码出错,有的是指令出错,刚开始不知道怎么去修改源程序,后经同学的帮忙把出错的行一一改了出来。经过这一过程以后,我发现自己在软件编程这一方面也有了不小的进步。 这次设计过程中从确定设计方案到编写软件程序再到调试,我始终保持着端正的学习态度,让自己慢慢融入其中,感受这一过程的发展变化。这其中让我体会到了学习的无穷乐趣。我为自己的小小进步而感到高兴, 38 河南理 工大学毕业设计(论文)说明书 因为这种兴奋是他人难以想象的到的。但是,我也知道我不能就此骄傲、沾沾自喜,这只是一个小小的进步,应该把眼光放的更长远一点,多向他人学习请教,提高自己对专业知识的灵活运用能力,不断地充实自己各方面的文化知识,逐步提高实践能力,力求理论与实践的完美结合。 39 致 谢 这次设计过程中我的指导老师唐恒娟老师在设计期间给予了我细心的指导、不倦的教诲和督促,使我的毕业设计能够按时顺利完成。唐老师从设计的开始到结束都一丝不苟、严格的要求我们,在设计中对我们提出的各种疑点、难点总是耐心的教导我们先自己思考,培养我们独自解决问题的能力,实在解决不了就耐心的给我讲解,直到我们理解这其中的原理。因此唐老师在很多关键性的问题上总能给我们提出很多合理性的建议,并对我们的设计整体框架以及大的原则性问题提出了关键性的指导。这些都给我的毕业设计顺利完成打下了铺垫。所以我想对唐老师表达我最深深的感谢,谢谢您这段时间的教导、督促和关心。 名为毕业设计,实则是对我们本科学习阶段所学的专业知识的一次检验和复习。通过这一设计过程,让我也深深地体会到了自己的专业知识学习的是多么的肤浅,理论与实际的遥远差距。但我相信这种差距在我以后的工作中会慢慢缩短的,差距是存在的,但是基础还是有的,所以我要对在这本科求学期间教授我理论知识、教导我成长的所有老师给予深深地感谢。 在这期间我的同学和伙伴们也给了我很大的帮助,在设计过程中不论他们是正在设计自己的课题,还是在休息,只要我去请教他们,他们都会毫无保留的把自己知道的解释给我,帮助我去疏通思路,以便我的设计可以继续进行下去。他们不仅帮我解决问题,还不断地开导我,不要急躁,慢慢学习,一点点设计,最终实现了自己的设计思想。因此我非常的感谢他们。 设计里由于我自身的能力有限只实现了课题的一些基本功能。但是,这仍然给了我很大的鼓舞,我相信在以后学习中,我会更加的注重理论知识与实际的紧密结合。最后再次向在设计中给我帮助和鼓励的老师和同学们表示深深地感谢。 40 河南理 工大学毕业设计(论文)说明书 参考文献 【1】 李朝青.单片机原理及接口技术[M]. 北京:北京航空航天出版社,2006 【2】孙慧芹.单片机设计项目教程[M]. 北京:电子工业出版社,2009 【3】 房小翠,王金凤.单片机实用系统设计技术[M].北京:国防工业出版社,1999 【4】楼然苗.51 系列单片机设计实例,M,.北京航空航天出版社, 2003.3 【5】阎 石.数字电子技术基础(第三版) [M]. 北京:高等教育出版社,1989 【6】 梁延东.电梯控制技术[M].北京:中国建筑工业出版社,1997 【7】 何立民.单片机应用系统设计[M]. 北京:北京航空航天出版社, 1993 【8】 万长建,张莉.用单片机实现高层电梯运行自动控制[J].实验技术与管理,2007,24(5):256,261 【9】 张娅莉,曲国峰.单片机在电梯自动控制中的应用[J].《工业控制计算机》,2003,16(2):135,138 【10】 朱承高.电工及电子技术手册[M].北京:高等教育出版社,1990 【11】 沈德全.mcs51系列单片机接口电路与应用程序实例,M,.北京:北京航空航天大学出版社 【12】 黄灼尧.单片机控制步进电机方法的研究与应用.广东大学出版设2001.132-138 【13】 楼然苗.51系列单片机设计实例,M,.北京航空航天出版社, 2003.3 【14】 张 凯.MCS-51单片机综合系统及其设计开发,M,.科学出版社,1996附录 【15】 曹荣敏.电梯运行系统的单片机模拟[M].微处理机,1998(3):1,3 41 附录一 42 河南理工大学毕业设计(论文)说明书 附录二 源程序 ORG 0000H AJMP START ORG 000BH AJMP TIME0 ORG 001BH AJMP TIME1 START: MOV TMOD, #18H MOV IE, #88H MOV TH1, #3CH MOV TL1, #0B0H ;定时器T1定时50ms SETB TR1 MOV SP, #6FH MOV 2AH, #0 LOUCENG2: SETB 2AH.1 RET LOUCENG3: SETB 2AH.2 RET LOUCENG4: SETB 2AH.3 RET S1: SETB P2.0 SETB P2.1 MOV R3, #0 MOV P1, #01H ;数码管显示"1" SETB 2AH.0 JB P3.4, $ ;是否开始 LCALL KEY1 43 CLR P2.1 UP1: MOV A, 20H ;目前在一楼 ORL A, 21H ORL A, 22H ANL A, #OEH ;取得大于1楼请求情况 JZ UP1 ;无请求,则等待 CJNE A, #02H, LOUCENG2 CJNE A, #04H, LOUCENG3 CJNE A, #08H, LOUCENG4 SETB P2.0 ;上升指示灯亮 ACALL DIANJI UP2: MOV P1, #02H ;到达2楼,数码管显示"2" SETB 2AH.1 JB 20H.1, UP21 ;是厢外2楼上升请求,转UP21 JB 22H.1, UP21 ;是厢内2楼上升请求,转UP21 SJMP UP22 UP21: CLR 20H.1 ;清厢外2楼上升请求标志位 CLR 22H.1 ;清厢内2楼上升请求标志位 CLR P2.0 ;上升指示灯灭 ACALL DD UP22: MOV A, 20H ORL A, 21H ORL A, 22H ANL A, #0CH ;取得大于2楼请求情况 CJNE A, #04H, LOUCENG3 CJNE A, #08H, LOUCENG4 JNZ UP23 AJMP DOWN22 44 河南理工大学毕业设计(论文)说明书 UP23: SETB P2.0 ;上升指示灯亮 ACALL DIANJI UP3: MOV P1, #03H ;到达3楼,数码管显示"3" SETB 2AH.2 JB 20H.2, UP31 ;是厢外3楼的上升请求,转UP31 JB 22H.2, UP31 ;是厢内3楼的上升请求,转UP31 SJMP UP32 UP31: CLR 20H.2 ;清厢外3楼的上升请求标志位 CLR 22H.2 ;清厢内3楼的上升请求标志位 CLR P2.0 ;上升指示灯灭 ACALL DD UP32: MOV A, 20H ORL A, 21H ORL A, 22H ANL A, #08H ;取得大于3楼请求情况 JNZ UP33 AJMP DOWN32 ;3楼无请求,转3楼下降 UP33: SETB P2.0 ;上升指示灯亮 ACALL DIANJI UP4: MOV P1, #40H ;到达4楼,数码管显示"4" SETB 2AH.3 UP41: CLR 21H.3 ;清厢外4楼下降请求标志位 CLR 22H.3 ;清厢内4楼下降请求标志位 CLR P2.0 ;上升指示灯灭 ACALL DD UD4: MOV A, 20H ORL A, 21H ORL A, 22H 45 ANL A, #07H ;取得低于4楼的请求情况 CJNE A, #04H, LOUCENG3 JNZ DOWN4 AJMP UD4 DOWN4: SETB P2.1 ;下降指示灯亮 ACALL DIANJI DOWN3: MOV P1, #03H ;到达3楼,数码管显示"3" JB 21H.2, DOWN31 ;是厢外3楼的下降请求,转DOWN31 JB 22H.2, DOWN31 ;是厢内3楼的下降请求,转DOWN31 SJMP DOWN32 DOWN31: CLR 21H.2 ;清厢外3楼的下降请求标志位 CLR 22H.2 ;清厢内3楼的下降请求标志位 CLR P2.1 ;下降指示灯灭 ACALL DD DOWN32: MOV A, 20H ORL A, 21H ORL A, 22H ANL A, #03H ;取得低于3楼的请求情况 CJNE A, #02H, LOUCENG2 JNZ DOWN33 AJMP UP32 ;小于3楼无请求,转3楼上升 DWON33: SETB P2.1 ;下降指示灯亮 ACALL DIANJI DOWN2: MOV P1, #02H ;到达2楼,数码管显示"2" SETB 2AH.1 JB 21H.1, DOWN21 ;是厢外2楼的下降请求,转DOWN21 JB 22H.1, DOWN21 ;是厢内2楼的下降请求,转DOWN21 SJMP DOWN22 46 河南理工大学毕业设计(论文)说明书 DOWN21: CLR 21H.1 ;清厢外2楼的下降请求标志位 CLR 22H.1 ;清厢内2楼的下降请求标志位 CLR P2.1 ;下降指示灯灭 ACALL DD DOWN22: MOV A, 20H ORL A, 21H ORL A, 22H ANL A, #01H ;取得小于2楼请求情况 JNZ DOWN23 AJMP UP22 ;2楼无请求,转2楼上升 DOWN23: SETB P2.1 ;下降指示灯亮 SETB 2AH.0 ACALL DIANJI DOWN1: MOV P1, #01H ;到达1楼,数码管显示"1" DOWN11: CLR 22H.0 ;清厢内1楼请求标志位 CLR P2.1 ;下降指示灯灭 DD: SETB P3.7 CLR P3.6 LCALL LOOP0 JB P1.5, $ ;超重,等待 SETB P3.6 CLR P3.7 DOWN12: CJNE R3, #0, DOWN13 ;停止键是否按下过 AJMP UP1 DOWN13: SETB P2.0 SETB P2.1 AJMP S1 DIANJI: MOV SPEED, #10H ;设转速初值 47 SETB P0.0 ;启动电机 MOV A, 2AH COM1: CJNE A, #03H, COM2 ;判断楼层间隔 MOV R0, #1 AJMP Q0 COM2: CJNE A, #06H, COM3 MOV R0, #1 AJMP Q0 COM3: CJNE A, #0CH, COM4 MOV R0, #1 AJMP Q0 COM4: CJNE A, #05H, COM5 MOV R0, #2 AJMP Q0 COM5: CJNE A, #0AH, COM6 MOV R0, #2 AJMP Q0 COM6: CJNE A, #09H, COM1 MOV A, #3 AJMP Q0 Q0: MOV A, SPEED ;转速增加 MOV R7, #0 DEC A MOV SPEED, A CJNE R7, #5, DENG Q1: CJNE R0, #1, Q2 AJMP V1 Q2: CJNE R0, #2, Q3 48 河南理工大学毕业设计(论文)说明书 AJMP V3 Q3: CJNE R0, #3, Q1 AJMP V5 V1: MOV R6, #0 ;间隔一层的匀速时长 V2: LCALL DENG INC R6 CJNE R6, # 10, V2 AJMP V7 V3: MOV R5, #0 ;间隔两层的匀速时长 V4: LCALL DENG INC R5 CJNE R5, #20, V4 AJMP V7 V5: MOV R4, #0 ;间隔三层的匀速时长 V6: LCALL DENG INC R4 CJNE R4, 30,V6 V7: MOV A, SPEED ;转速减少 INC A MOV SPEED, A MOV R3, #0 INC R3 CJNE R3, #5, DENG CLR P0.0 RET DENG: JB P2.0, ZHENG JB P2.1, FAN ZHENG: MOV A, #0F3H ;电机正转 49 MOV P3, A LCALL DELAY MOV A, #0F6H MOV P3, A LCALL DELAY MOV A, #0FCH MOV P3, A LCALL DELAY MOV A, #0F9H MOV P3, A LCALL DELAY RET FAN: MOV A, #0F9H ;电机反转 MOV P3, A LCALL DELAY MOV A, #0FCH MOV P3, A LCALL DELAY MOV A, #0F6H MOV P3, A LCALL DELAY MOV A, #0F3H MOV P3, A LCALL DELAY RET DELAY: MOV R2, SPEED ;调整转速延时 LOOP5: MOV R3, #04H LOOP4: MOV R4, #0FFH 50 河南理工大学毕业设计(论文)说明书 LOOP3: DJNZ R4, LOOP3 DJNZ R3,LOOP4 DJNZ R2, LOOP5 RET TIME0: MOV TH0, #3CH MOV TL0, #0B0H INC R2 TIME1: MOV TH1, #0ECH MOV TL1, #78H MOV R3, #0FFH KEY1: LCALL KS1 JNZ LK1 LJMP LK11 LK1: LCALL DL10ms LCALL KS1 JNZ LK2 LJMP LK11 LK2: MOV P0, #0FFH CLR P0.1 ;第0行为低电平 SETB P0.2 MOV A, P0 ANL A, #0FAH ORL A, #07H CPL A JNZ LK3 LJMP LK5 LK3: MOV R3, #04H MOV R2 , #00H 51 LK4: RLC A JC LK9 DEC R3 INC R2 CJNE R2, #5, LK4 LK5: SETB P0.1 CLR P0.2 MOV A, P0 ANL A, #0FAH ORL A, #07H CPL A JNZ LK6 LJMP LK8 LK6: MOV R4, #09H LK7: RLC A JC LK10 DEC R4 CJNE R4, #04H, LK7 LJMP LK11 LK8: CJNE R3, #04H, L1 SETB P2.2 SETB 20H.0 L1: CJNE R3, #03H, L2 SETB 22H.3 L2: CJNE R3, #02H, L3 SETB 22H.2 L3: CJNE R3, #01H, L4 SETB 22H.1 52 河南理工大学毕业设计(论文)说明书 L4: CJNE R3, #00H, LK5 SETB 22H.0 LK9: CJNE R4, #09H, U1 SETB P2.7 SETB 21H.2 U1: CJNE R4, #08H, U2 SETB P2.6 SETB 21H.1 U2: CJNE R4, #07H, U3 SETB P2.5 SETB 20H.2 U3: CJNE R4, #06H, U4 SETB P2.4 SETB 21H.0 U4: CJNE R4 , #05H, LK11 SETB P2.3 SETB 20H.1 KS1: MOV P1, #0FFH ;P0口设置为输入状态 CLR P0.1 CLR P0.2 ;行送低电平 MOV A, P0 ANL A, #0FAH ORL A, #07H CPL A RET LK10: LCALL DL10ms LCALL KS1 JNZ LK10 53 LCALL DL10ms Lk11: MOV P0, #0FFH RET DL10ms: MOV R6, #100 LOOP1: MOV R7, #28 LOOP2: DJNZ R7, LOOP2 DJNZ R6, LOOP1 JB P3.5, TIME11 ;若"停止"键未按下,则直接返回 MOV R3, #0FFH ;停止键按下,标准R3置非0数,并关闭T1 CLR TR1 TIME11: RETI LOOP0: MOV R0, #50 DLAY1: MOV R6, #200 LOOP7: MOV R7, #248 LOOP8: DJNZ R7, LOOP8 DJNZ R6, LOOP7 DJNZ R0, DLAY1 RET END 54
/
本文档为【周莉莉-基于单片机控制电梯的控制系统设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索