为了正常的体验网站,请在浏览器设置里面开启Javascript功能!

自动售票机课程设计

2017-11-11 6页 doc 51KB 61阅读

用户头像

is_594886

暂无简介

举报
自动售票机课程设计自动售票机课程设计 数字电子技术基础课程设计 系 别: 电气与电子工程系 专 业:电气工程及其自动化 题 目: 自动售票机 学生 姓名: 班 号: 学 号: 指导教师: 时间:2012年6月16日 1 目 录 ?设计目的 ...................................... 3 ?设计要求 ...................................... 3 ?设计任务 ...................................... 3 ?电路的总...
自动售票机课程设计
自动售票机课程 数字电子技术基础课程设计 系 别: 电气与电子工程系 专 业:电气工程及其自动化 题 目: 自动售票机 学生 姓名: 班 号: 学 号: 指导教师: 时间:2012年6月16日 1 目 录 ?设计目的 ...................................... 3 ?设计要求 ...................................... 3 ?设计任务 ...................................... 3 ?的总体结构................................. 4 ?各部分电路设计................................. 5 ?设计总结 ...................................... 7 2 一、设计目的 1. 学会应用数字电路课程的理论知识独立完成一个课程设计。 2. 能够通过设计掌握独立分析和解决实际问题的能力。 3. 通过设计掌握数字电路设计和制作的一般方法。 4. 学会使用和查找设计有关的书籍和资料。 5. 通过对实际电路的的分析比较、设计计算、元件选取、安装调试环节,初步掌握简单实用电路的分析方法和工程设计。 6. 学会撰写课程设计总结,培养严肃认真的工作作风和严谨的科学态度。 二、设计要求 ? 以数字电子技术基础的基本理论为指导,将设计实验分为基础型和系统型两个层次,基础型指基本单元电路设计与调试,系统型指若干个模拟、数字基本单元组成并完成特定功能的电路设计、调试。 ? 学习EDA电路设计方法,能熟练掌握理论电路应用实际。 ? 学习电子系统电路的安装调试技术。 三、设计任务 1. 设计一个自动售票机,此机只售1角、2角、5角和1元四种邮票。售哪一种邮票按票额指令即可。每按一次只能售出一张邮票。 2. 如果投入硬币钱数不足,则报警提示。如果投入硬币足够则自动送出一张邮票,并用不同颜色指示灯显示出来,多余的钱数可以找回,找回的钱数同时用数码管显示出来。 3.售票机应有累加钱数的功能,累加的钱数用数码管显示出来。 3 四、电路的总体结构 1. 电路的总体原理框图 累加卖钱数 找钱 报警 出票 比较电路 识别电路 设计原理: 实验电路主要由比较电路,找钱电路,累加电路组成。 本 其中用到的芯片及元件有74LS85数字比较器、74LS283加法器、74LS183全加器、7448七段译码器、555定时器、74LS160计数器等组成。比较电路由74LS85数字比较器组成;找钱电路由74LS283半加器,74LS183全加器,7448七段译码器组成;累加电路由555定时器,74LS160计数器组成。在比较电路中,如果投入硬币币值小于所买邮票值, 4 那么电路将亮出红灯(报警),如果投入硬币币值等于所买邮票值,那电路中会有相对应的指示灯发亮,并且给出邮票,如果投入硬币币值大于所买邮票值,多出的部分将由找钱电路找回,其中用BCD-七段译码器将四位二进制数转化并接到数码显示器上显示;累加电路的作用是计算所卖邮票(99以内),并显示出来。 2. 所需器件 反相器、74LS283加法器、74LS183全加器、7448译码器、DCD-HEX显示屏、彩色指示灯、74LS85比较器、74LS02或非门、74LS08与门、555定时器、74LS160计数器、开关。 五、各部分电路设计 1. 数字比较器及出邮票电路,用来比较投入硬币面值和所选邮票面值 。 2. 5 找钱电路,用来显示当投入硬币面值大于所选邮票面值时,需要找钱数目。 3. 累加卖钱数电路,用来累加两位数内的卖出去的邮票面值。 6 4. 整体电路图 找钱电路 投入 硬币 信号 判断电路及出 邮票 选邮 票 累加卖钱数电路 六、设计总结 1. 设计过程中遇到的问题及解决方法 如何实现卖钱数的累加,而且要用数码管显示出来,可一个数码管只能显示0—9之间的数,不能累加两位数。解决方法:使用555定时器和十进制计数器,1元输入信号接到一个十进制计数器的输入端,其输出端接的是显示两位数的十位的数码管;而1角,2角,5角输入信号都是经过接到555定时器后,把输出信号接到另一个十进 7 制计数器,其输出端接的是显示两位数的个位的数码管。 2. 设计体会 经过五天的课程设计,我受益匪浅,我想这对于自己以后的学习和工作都会有很大的帮助。在这次设计中遇到了很多实际性的问题,在实际设计中才发现,书本上理论性的东西与在实际运用中的还是有一定的出入的,所以有些问题不但要深入地理解,而且要不断地更正以前的错误思维。一切问题必须要靠自己一点一滴的解决,而在解决的过程当中你会发现自己在飞速的提升。在实验过程中,根据任务书的要求,查找资料,设计了电路方案,在差额计算模块、投币模块、选票模块,有几种预想方案,和同组人员仔细分析后确定了一套简单实用的方案。 在设计过程中,由于对电子芯片的了解较匮乏,在选择芯片的问题上花费了不少时间,在翻阅有关资料和上网搜索后,才确定了要选择那些器件,不过通过对这些资料的查看,也了解了很多课本上没有的东西,通过和同组人员的共同努力,我们终于设计好了各个模块的电路。通过课程设计,我学到了很多,收获了很多,我觉得课程设计是一个从理论到实际应用的过程,也是和同组人员配合的实践,独自一人来设计一个东西是很困难的。 对我而言,知识上的收获重要,精神上的丰收更可喜,挫折是一份财富,经历是一份拥有。 3. 对设计的建议 ?课程设计是理论与实际相结合的应用,对我们的学习帮助很大,让 8 我们更好的掌握所学知识,希望以后能更多的开展这样的活动,让我们有更多的机会运用所学的知识。 ?实际电路中,有些模块在模拟软件中无法进行模拟仿真,这让我们对自己设计的电路的可用性有些疑惑,希望学校能给我们提供能让我们进行实际仿真的实验室,让我们能更加完善自己的电路。 ? 参考文献 ?《数字电子技术基础》(第五版)阎石主编,高等教育出版社 ?《电子技术实验与课程设计》赵淑范 王宪伟编著,清华大学出版社 9
/
本文档为【自动售票机课程设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
热门搜索

历史搜索

    清空历史搜索