为了正常的体验网站,请在浏览器设置里面开启Javascript功能!

ISE14.7下创建一个Microblaze软核的流水灯和串口输出程序

2019-07-31 3页 pdf 3MB 41阅读

用户头像 个人认证

绘画的问号

暂无简介

举报
ISE14.7下创建一个Microblaze软核的流水灯和串口输出程序ISE14.7下创建一个Microblaze软核的流水灯和串口输出程序使用硬件平台XilinxSpartan6系列的FPGA,开发环境为ISE14.7。废话少说,直接上图创建一个ISE工程,工程名字为UART_LED_TEST。点击完成,完成工程的创建。往工程中添加MICROBLAZEIP核工程创建完成图。点击完成后进入XPS,然后开始创建核选择PLBsystem,我也不知道为什么?按向导点击下一步。给microblaze添加UART核波特率可以根据自己情况修改,下面添加LED跑马灯导出刚才创建的软核平台。选择ExportOn...
ISE14.7下创建一个Microblaze软核的流水灯和串口输出程序
ISE14.7下创建一个Microblaze软核的流水灯和串口输出程序使用硬件平台XilinxSpartan6系列的FPGA,开发环境为ISE14.7。废话少说,直接上图创建一个ISE,工程名字为UART_LED_TEST。点击完成,完成工程的创建。往工程中添加MICROBLAZEIP核工程创建完成图。点击完成后进入XPS,然后开始创建核选择PLBsystem,我也不知道为什么?按向导点击下一步。给microblaze添加UART核波特率可以根据自己情况修改,下面添加LED跑马灯导出刚才创建的软核平台。选择ExportOnly,仅仅只导出平台。Console中显示Done!说明软核平台导出完成。关闭XilinxPlatformStudio,进入ISE界面,如下图。右键点击SYS_CPU,选择AddCopyofSource,添加约束文件。选择SYS_CPU,双击GenerateTopHDLSource,创建顶层模块文件。创建完成后,如下图。双击ExportHardwareDesignToSDKWithbitstream,编译刚才创建的软核平台。此过程大约需要十几分钟。编译完成后弹出如下对话框,选择SDK的工作。创建一个SDK_Workspace文件夹,并选择该文件夹为SDK的工作目录。进入SDK主界面。创建工程完成后的SDK界面图。下载C程序到FPGA上将FPGA上电,并接上USB下载线。点击下图中标记的图标。打完收功!
/
本文档为【ISE14.7下创建一个Microblaze软核的流水灯和串口输出程序】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索