为了正常的体验网站,请在浏览器设置里面开启Javascript功能!
首页 > 双二选一多路选择器课程设计

双二选一多路选择器课程设计

2021-11-04 3页 doc 1MB 33阅读

用户头像 个人认证

陨辰

暂无简介

举报
双二选一多路选择器课程设计双二选一多路选择器课程设计双二选一多路选择器课程设计1•实验目的电路设计过程2.1设计二选一多路选择器2设计双二选一多路选择器仿真结果3・1创建工程准备工作2创建新工程3.3编译前「3.4全程编译3.5时序仿真4•总结一、实验目的1、学会利用QuartusII软件的原理图输入方法设计简单的逻辑电路;2、熟悉QuartusII软件的VHDL文本设计流程全过程;3、学习简单组合电路的设计,层次化的设计方法4、熟悉利用QuartusII软件对设计电路进行仿真的方法;二、电路设计过程1、设计2选1多路选择器a.使用Quartus建立工...
双二选一多路选择器课程设计
双二选一多路选择器课程设计双二选一多路选择器课程设计1•实验目的电路设计过程2.1设计二选一多路选择器2设计双二选一多路选择器仿真结果3・1创建工程准备工作2创建新工程3.3编译前「3.4全程编译3.5时序仿真4•总结一、实验目的1、学会利用QuartusII软件的原理图输入方法设计简单的逻辑电路;2、熟悉QuartusII软件的VHDL文本设计全过程;3、学习简单组合电路的设计,层次化的设计方法4、熟悉利用QuartusII软件对设计电路进行仿真的方法;二、电路设计过程1、设计2选1多路选择器a.使用Quartus建立工程准备项目新建一个文件夹。在d盘中,路径为D:\wangcheno打开Quartus软件从[File]»[New】>>【VHDL】新建文本编译窗口,出现新建项目框。输入源程序,编写2选1多路选择程序2选1多路源程序如下:ENTITYmux21aISPORT(a,b,s:INBIT;y:OUTBIT);ENDENTITYmux21a;ARCHITECTUREoneOFmux21aISBEGINPROCESS(a,b,s)BEGINIFs='O'THENy<=a;ELSEy<=b;ENDIF;ENDPROCESS;ENDARCHITECTUREone;也Vhdll.vhd*fENTITYmux21aISPORT(arbfs:INBIT;y:OUTBIT);ENDENTITY^ax21a;ARCHITECTUREoneOFro.ux21aISBEGINPROCESS{arb,s}BEGINIFs^'Q1THENy<=a;ELSEy<=b;.ENDIF;ENDPROCESS;ENDARCHITECTUREone;文件存盘选择File-*SaveAs命令,找到已建立的文件夹D:\wangchen,存盘文件名应与实体的名字一致,即mux21a,其界面窗口如下图所示。二Q包佇圉▼■克面A没囲索碍测,••■卿ir文駱帕:|buz21&J黔翅叩:卜皿File1!消丁rrm?pioixlbs5edanIH5fife/0SawAsgvi11.1J--EOTITYnux21eIS""PORTa,bf3:I>lBIT;y:CUTBITI;INDEXTITYmux21a;ARCHIIECTUREoneOFoux21aISBEGINFRCCZSS|arbrs)BESTHIFs-'O'TMD-ard*sce>»ou”相"lotccaeticrcornpi^cn.Famiy|Cyrlcn-llDev»:eIRnOption:.2甲如8-f*Aaodevicesdectfrdby出eFkferCdeviceadetiedh'AuahtledeviewTiHCI'lwi「山SZ»n'Avahtiedevc%'i自PockagFinccurtSpeedgiede;CoicvoObdMShwadvancedde>ice?AvcihbhdevieezHotsILEoIMemm...[Embeci.IPLL£P2C5F2EfiCG40361193CMEPX5F256C?4936113309EP2C5F2骑C8刪8113303EP2C3F2国18刪8113303EP3303JEC74KJ8113303EPHSQHECa卿8113303EP3:5Q2DE(84606113303EP2CST144CE4036119309EPXST144C?4Gae119903EP2C5门44C8刪811^303-CambrianWvXe•一P-rriD$P^FV・MtoKcrdCowII-e%»-e©css;Mig-ahcncnrno«:bityMiciaibnDemnOKIdSettings-mux21aCS:cqov:GereralkFifes:U2bLtcaresICurcniPrqctt):DeviceS)-1rnhgAnisicSellingsREDATcbzISclbng^iCompiaiionSeibng^3-An^yir?&SSeltingcBlFilterSetlinos;A^embter;DSgnh曲Urn:■SicrolTopIILogcAadyzc!LogicAnaiyzerIn怕ifaze^-SkridPiobe$eOptens..dabledevices'lidF?Generatecnrcre$sedbtstreenis纟cjjtfion:r12Vl/onccddevicesDevice&cPinOptiorms:Mr*2-o:rBatqctxoix匚RC|匚dpduillv<«Loodda毎Gcxxci-alICon.£xg?-ix-a.1iort|Fi-oQ-^nirr.:!巩6FhILuz;|Untui=c:x%weul.0etxoxs5»acnia-j5设置仿真时间区域,对于时序仿真来说,将仿真时间设置在一个合理的时间区域上十分重要。通常设置时间范围在数十微妙间。首先在Edit菜单中选择EndTime项,即弹出如图所示窗口。在此例中整个仿真时间设置为50us,单击OK按钮结束设置。④将工程mux21a的端口信号节点选入波形编辑器中。选择View菜单中的UtilityWindows项的NodeFinder项。弹出的对话框如图所示,在Filter框中选Pins:all,然后单击List按钮,于是在下方的NodesFound窗口中出现设计中的CNT1O工程的所有端口引脚名。用鼠标将重要的端口节点CLK、EN、RST、COUT和输出总线信号CQ分别拖到波形编辑窗,结束后关闭NodesFound窗口。设定仿真时间宽度,选择Edit项及其Endtime选项,在Endtime选择窗中选择适当的仿真时间域,如可选50us,以便有足够长的观察时间。诃mux21a.v\vfMasterTime8ar:NodeFinderValueat1903nsNamed:厂▼!Filer:|Pin$:all▼]Lookin:||mux21c|三]二|臣Customize...IndudesuteriitiesLLildQ伽If叵AssarmentcTmeCreatorNodesFourd:NameUnassgrcd1叩UUjcicrtcredUnassgredInpdtU^ererteredUnassgredInpULl^ererteredllna^ssgredOutputLherertered诃mux21aAW*MasterTmeBar:19.025nsjj喻疏300p$Interval:•18.73nsStart:编辑输入波形用鼠标左键单击图所示窗口的时钟信号名CLK,使之变成蓝色条,再单击左列的时钟设置键起,下部分CLK的时钟周期设置为50ns;Clock窗口中的Dutycycle是占空比,默认为50,即50%占空比。然后再分别设置EN和RST的电平,RST为复位端,EN为使能端。最后设置好的激励信号波形如图下所示。启动仿真器在Quarturll软件中仿真方式有两种,功能仿真和时序仿真,方法是:在工具栏中选择processing-*Simulate!*Tool即弹出如下图仿真方式选择窗口,在窗口Simulatermode处是时序仿真和功能仿真选择窗口,此例选择功能仿真Functionlo选好后单击GenerateFunctionalSimulaterNellist按钮,再单击确定按钮,最后再单击图2.1.21中的start按钮,即完成仿真方式的确定。仿真器参数设置选择菜单AssignmentfSettings,即弹出如图2.1.22选择仿真参数设置窗口,此例中选择的参数如图2.1.22所示。启动仿真器。现在所有设置进行完毕,在菜单Processing项下选择StartSimulation,也可以选择工具栏上的图表梯。观察仿真结果。iBUlaticnsls2?emvw?仇x:tomz・Youcanc(xrpr«83itintoCVWF"mrmoroercoreducefile8iae・Foraw*oecailapleaserefercocbeft«jaixusIIi・0errcra,0warning□ecessrul.Cerrors,2varninga时序分析:由上面两图可以得知:当s=0时,y口输出a,当s=l时,y口输出b2.设计双二选一多路选择器a-生成二选一多路选择器模块符号选择Filefcreatelfsymbol命令,建立模型FlowStatusSuccessful-£QuartusHQu^rtusIIVersionRevisi-?nN=ameTop~levelEntityNameFamilyCreateSymbolFilewassuccessfulIilements60Build178mu^21amux21&CycloneIIEP2C5T144C8FinalYes1/4?608(<04/89(4%:00/119,808(0/26(0%:0/2(0%)b.新建二选一多路选择器原理图文件①从【File】»[New]»[BlockDiagram/SchematicFile]新建文本编译窗口,出现新建项目框,名为MUX.bdf在Blockl.bdf窗口中任意处双击,弹出添加元件符号对话框图,这里选择如图所示元件。QuartnsTIE:/a0512301/firjt/fadderfadder[Blocki.bdf]gdn牝0«誘)昨VicvlcrvH«L)RgtHas倂or*xbigCycLccf-K*nwa»♦如3ior世Blocllbdfdk单击网。与门符号被附在鼠标指针上,在Blockl.bdf窗口中适当位置点击一下,放置该符号。按画键后,完成一次元件的放置,再选择与放置其它元件和引脚,双击引脚符号在弹出的对话窗口中可改变其名称图二选一多路选择器电路原理图C.文件存盘、全程编译①点击保存,文件名为muxk.bdf,再将muxk.bdf置为顶层,进行全程编译,直至编译成功。FlowStatusQuartusIIVersionRevision.NameTop"levelEntityNomeF^jnilyDeviciyrequir*xentsisenabledixclaclonsis270inVKFtextfonra:.YcuzazitintoC»VFfomatlaozdsrzqreducefilesize・Fox3-zedst«ilsple±ssrefertothe^UAXtujII!・0errora,0varnlEga□ecesafa2.0errors,2varninga二选一多路选择器仿真图Wliieatps5.12«1510.“15.3611520.Q8u25.6us30.T2ns35用的riiiiiii1lane10.18m10.175isal辽BOBOBOWWWJiurwwuwinoo-.__routyBO翦BOLsiBO双二选一多路选择器仿真图四、总结通过本次课程设计对二选一多路选择器的设计和实现,使我熟悉QuartuasU的VHDL文本设计的全过程,并积累总结了一些经验,整个设计过程运用了VHDL语言进行描述、简单组合电路和多层次电路的设计。在二选一多路选择器仿真结果中出现延时,应该将a,b,s的周期增大些,且要保证单位为us,实验文件夹名字与程序中所用的实体名必须一致,负责导致程序运行时无法找到文件夹,无法正常工作。
/
本文档为【双二选一多路选择器课程设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索