为了正常的体验网站,请在浏览器设置里面开启Javascript功能!
首页 > 浅析推垮型冒顶事故的发生机理

浅析推垮型冒顶事故的发生机理

2011-11-16 2页 pdf 130KB 12阅读

用户头像

is_237989

暂无简介

举报
浅析推垮型冒顶事故的发生机理null8.19 自动升降电梯控制器设计8.19 自动升降电梯控制器设计设计要求设计要求设计一个6层楼的电梯控制器。 该控制器可控制电梯完成6层楼的载客服而且遵循方向优先原则,并能响应提前关门延时关门,并具有超载报警和故障报警; 同时指示电梯运行情况和电梯内外请求信息。电梯控制方式电梯控制方式1.内部请求优先控制方式 2.单向层层停控制方式 3.方向优先控制方式1.内部请求优先控制方式方案1.内部请求优先控制方式方案内部请求优先控制方式类似于出租车的工作方式,先将车上的人送至目的地,再去载客。作为通用型电梯应该服务于大多数...
浅析推垮型冒顶事故的发生机理
null8.19 自动升降电梯控制器设计8.19 自动升降电梯控制器设计设计要求设计要求设计一个6层楼的电梯控制器。 该控制器可控制电梯完成6层楼的载客服而且遵循方向优先原则,并能响应提前关门延时关门,并具有超载报警和故障报警; 同时指示电梯运行情况和电梯内外请求信息。电梯控制方式电梯控制方式1.内部请求优先控制方式 2.单向层层停控制方式 3.方向优先控制方式1.内部请求优先控制方式方案1.内部请求优先控制方式方案内部请求优先控制方式类似于出租车的工作方式,先将车上的人送至目的地,再去载客。作为通用型电梯应该服务于大多数人,必须考虑电梯对内、外请求的响应率P: Pin = 100%; Pout = 0~100%; 在内部请求优先控制方式中,当电梯外部人的请求和电梯内部人的请求冲突时,外部人的请求信号可能被长时间忽略,因而它不能作为通用型电梯的设计方案。2.单向层层停控制方式方案2.单向层层停控制方式方案单向层层停控制方式等同于火车的运行方式,遇站即停止、开门。 这种方案的优点在于“面面俱到”,可以保证所有人的请求都能得到响应。 然而这样对电梯的效率产生消极影响:不必要的等待消耗了大量时间,而且电梯的运作与用户的请求无关,当无请求时电梯也照常跑空车,就浪费了大量电能。 对用户而言,此种控制方式的请求响应时间也不是很快。 因而这不是理想的方案。3.方向优先控制方式方案3.方向优先控制方式方案方向优先控制是指电梯运行到某一楼层时先考虑这一楼层是否有请求:有,则停止; 无,则继续前进。 停下后再启动时,①考虑前方——上方、或下方是否有请求:有,则继续前进;无,则停止;②检测后方是否有请求, 有请求则转向运行, 无请求则维持停止状态。这种运作方式下,电梯对用户的请求响应率为100%,且响应的时间较短。 假设: 电梯每两层间的运行时间为ΔT ,楼层数为6, 在每层楼的停止时间为t, 如果每层楼都有请求,则这种控制方式的效率和上面的单向每层停等控制方式的效率一样, 然而, 当不是每层楼都有请求 (假定为只有第6层有请求输入) 时,上面的方式2(设为A方式)的响应时间nullT=5*(ΔT + t ) 而方向优先控制方式(设为B方式)对同一请求的响应时间 T1=5*ΔT 即效率比 ηb/ηa = 1 + t /ΔT 方向优先控制方式的效率远大于单向层层停等控制方式的效率。 而且,方向优先控制方式下,电梯在维持停止状态的时候可以进入省电模式,又能节省大量电能, 本设计选择方向优先控制方式。系统组成 系统组成 模块设计模块设计1. 外部数据高速采集模块设计 2.信号存储模块 3. 基于FPGA的中央处理模块 4.信号的输出、显示模块1.外部数据高速采集模块设计1.外部数据高速采集模块设计对外部信号采集、处理要求电梯控制器: (1)外部请求信号的实时、准确采集。(2)准确、实时的捕捉楼层到达信号。(3)有效的防止楼层到达信号、外部请求信号的误判。 控制器采用FPGA作为系统控制的核心,系统时钟频率是32.0000MHz,完全可以满足实时采集数据的要求。由于电路中毛刺现象的存在,信号的纯净度降低,单个的毛刺往往被误作为系统状态转换的触发信号,严重影响电梯的正常工作。可以采用多次检测的解决这个问,对一个信号进行多次采样以保证信号的可信度。 外部请求信号的输入形式为按键输入,到达楼层信号来自光敏传感器,关门中断信号及超载信号则产生于压力传感器。 键盘、光敏外部输入接口电路未设计。2.信号存储模块2.信号存储模块电梯控制器的请求输入信号有18个(电梯外有6个上升请求和6个下降请求的用户输入断口,电梯内有6个请求用户输入断口),由于系统对内、外请求没有设置优先级,各楼层的内、外请求信号被采集后可先进行运算,再存到存储器内。 电梯运行过程中,由于用户的请求信号的输入是离散的,而且系统对请求的响应也是离散的,因此请求信号的存储要求新的请求信号不能覆盖原来的请求信号,只有响应动作完成后才能清除存储器内对应的请求信号位。3.基于FPGA的中央处理模块3.基于FPGA的中央处理模块中央数据处理模块是系统的核心,通过对存储的数据(含请求、到达楼层等信号)进行比较、判断以驱动系统状态的流转。电梯工作过程中共有9种状态:等待、上升、下降、开门、关门、停止、休眠、超载报警以及故障报警状态。一般情况下,电梯工作起始点是第一层,起始状态是等待状态,启动条件是收到上升请求。 超载状态时电梯关门动作取消,同时发出警报,直到警报被清除; 故障时电梯不执行关门动作,同时发出警报,直到警报被清除(看门狗信号有效的条件是一层楼连续发生关门中断情况超过3次)。本系统由请求信号启动,运行中每检测到一个到达楼层信号,就将信号存储器的请求信号和楼层状态信号进行比较,再参考原方向信号来决定是否停止,转向等动作。null(系统状态图 )4.信号的输出、显示模块4.信号的输出、显示模块本系统的输出信号有两种: 一种是电机的升降控制信号(两位)和开门/关门控制信号;另一种是面向用户的提示信号(含楼层显示、方向显示、已接受请求显示等)。 电机的控制信号一般需要两位,本系统中电机有3种工作状态: 正转、反转和停转状态。 两位控制信号作为一个三路开关的选通信号,此三路开关选用模拟电子开关。 系统的显示输出包括数码管楼层显示、数码管请求信号显示和征运动方向的箭头形指示灯的开关信号。 完全可以满足人们的需要,而且效率比较高。null本系统具有请求信号显示功能,结合方向显示,可以减少用户对同一请求的输入次数,这样就延长了电梯按键的使用寿命。假如电梯处于向上运动状态,初始位置是底层,初始请求是6楼,2楼时进入一人,如果他的目的地也是6楼,他看到初始请求是6楼,就可以不再按键。同时,电梯外部的人也可根据请求信号显示(上升请求、下降请求、无请求),就可以避免没必要的重复请求信号输入。电梯使用时,系统结合相应的电梯使用规范。电梯控制器程序设计与仿真 电梯控制器程序设计与仿真 null电梯控制器电路符号 电梯控制器VHDL程序 电梯控制器VHDL程序 --文件名:dianti.vhd。 --功能:6层楼的电梯控制系统。 --最后修改日期:2004.4.12。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all;nullentity dianti is port ( clk : in std_logic; --时钟信号(频率为2Hz) full,deng,quick,clr:in std_logic; --超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u3,c_u4,c_u5: in std_logic; --电梯外人的上升请求信号 c_d2,c_d3,c_d4,c_d5,c_d6 : in std_logic; --电梯外人的下降请求信号 d1,d2,d3,d4,d5,d6 : in std_logic; --电梯内人的请求信号 g1,g2,g3,g4,g5,g6 : in std_logic; --到达楼层信号 door : out std_logic_vector(1 downto 0); --电梯门控制信号 led : out std_logic_vector(6 downto 0); --电梯所在楼层显示 led_c_u:out std_logic_vector(5 downto 0);--电梯外人上升请求信号显示 led_c_d:out std_logic_vector(5 downto 0);--电梯外人下降请求信号显示 led_d : out std_logic_vector(5 downto 0); --电梯内请求信号显示 wahaha : out std_logic; --故障报警信号 ud,alarm : out std_logic; --电梯运动方向显示,超载警告信号 up,down : out std_logic ); --电机控制信号和电梯运动 end dianti; nullarchitecture behav of dianti is signal d11,d22,d33,d44,d55,d66:std_logic;--电梯内人请求信号寄存信号 signal c_u11,c_u22,c_u33,c_u44,c_u55:std_logic; --电梯外人上升请求信号寄存信号 signal c_d22,c_d33,c_d44,c_d55,c_d66:std_logic; --电梯外人下降请求信号寄存信号 signal q:integer range 0 to 1; --分频信号 signal q1:integer range 0 to 6; --关门延时计数器 signal q2:integer range 0 to 9; --故障计数器 signal dd,cc_u,cc_d,dd_cc:std_logic_vector(5 downto 0); --电梯内外请求信号寄存器 signal opendoor:std_logic; --开门使能信号 signal updown:std_logic; --电梯运动方向信号寄存器 signal en_up,en_dw:std_logic; --预备上升、预备下降预操作使能信号 beginnullcom:process(clk) begin if clk'event and clk='1' then if clr='1' then q1<=0;q2<=0;wahaha<='0'; --清除故障报警 elsif full='1' then alarm<='1'; q1<=0; --超载报警 if q1>=3 then door<="10"; else door<="00"; end if; elsif q=1 then q<=0;alarm<='0'; if q2=3 then wahaha<='1'; --故障报警 else null if opendoor=‘1’ then door<=“10”;q1<=0;q2<=0;up<=‘0’;down<=‘0’; --开门操作 elsif en_up='1' then --上升预操作 if deng='1' then door<="10";q1<=0;q2<=q2+1; --关门中断 elsif quick='1' then q1<=3; --提前关门 elsif q1=6 then door<="00";updown<='1';up<='1'; --关门完毕,电梯进入上升状态 elsif q1>=3 then door<="01";q1<=q1+1; --电梯进入关门状态 else q1<=q1+1;door<="00"; --电梯进入等待状态 end if; elsif en_dw='1' then --下降预操作 if deng='1' then door<="10";q1<=0;q2<=q2+1; elsif quick='1' then q1<=3; elsif q1=6 then door<="00";updown<='0';down<='1'; elsif q1>=3 then door<="01";q1<=q1+1; else q1<=q1+1;door<="00"; end if; end if; null if g1='1' then led<="1001111"; --电梯到达1楼,数码管显示1 if d11='1' or c_u11='1' then d11<='0'; c_u11<='0';opendoor<='1'; --有当前层的请求,则电梯进入开门状态 elsif dd_cc>"000001" then en_up<='1'; opendoor<='0'; --有上升请求,则电梯进入预备上升状态 elsif dd_cc="000000" then opendoor<=‘1'; --无请求时,电梯停在1楼待机 end if; null elsif g2='1' then led<="0010010";--电梯到达2楼,数码管显示2 if updown='1' then --电梯前一运动状态位上升 if d22='1' or c_u22='1' then d22<='0'; c_u22<='0'; opendoor<='1'; --有当前层的请求,则电梯进入开门状态 elsif dd_cc>"000011" then en_up<='1'; opendoor<='0'; --有上升请求,则电梯进入预备上升状态 elsif dd_cc<"000010" then en_dw<='1'; opendoor<='0'; --有下降请求,则电梯进入预备下降状态 end if; --电梯前一运动状态为下降 elsif d22='1' or c_d22='1' then d22<='0'; c_d22<='0';opendoor<='1'; --有当前层的请求,则电梯进入开门状态 elsif dd_cc<"000010" then en_dw<='1'; opendoor<='0'; --有下降请求,则电梯进入预备下降状态 elsif dd_cc>"000011" then en_up<='1'; opendoor<='0'; --有上升请求,则电梯进入预备上升状态 end if;null elsif g3='1' then led<="0000110"; --电梯到达3楼,数码管显示3 if updown='1' then if d33='1' or c_u33='1' then d33<='0'; c_u33<='0';opendoor<='1'; elsif dd_cc>"000111" then en_up<='1'; opendoor<='0'; elsif dd_cc<"000100" then en_dw<='1'; opendoor<='0'; end if; elsif d33='1' or c_d33='1' then d33<='0'; c_d33<='0'; opendoor<='1'; elsif dd_cc<"000100" then en_dw<='1'; opendoor<='0'; elsif dd_cc>"000111" then en_up<='1'; opendoor<='0'; end if;null elsif g4='1' then led<="1001100"; --电梯到达4楼,数码管显示4 if updown='1' then if d44='1' or c_u44='1' then d44<='0'; c_u44<='0'; opendoor<='1'; elsif dd_cc>"001111" then en_up<='1'; opendoor<='0'; elsif dd_cc<"001000" then en_dw<='1'; opendoor<='0'; end if; elsif d44='1' or c_d44='1' then d44<='0'; c_d44<='0'; opendoor<='1'; elsif dd_cc<"001000" then en_dw<='1'; opendoor<='0'; elsif dd_cc>"001111" then en_up<='1'; opendoor<='0'; end if;null elsif g5='1' then led<="0100100"; --电梯到达5楼,数码管显示5 if updown='1' then if d55='1' or c_u55='1' then d55<='0'; c_u55<='0';opendoor<='1'; elsif dd_cc>"011111" then en_up<='1'; opendoor<='0'; elsif dd_cc<"010000" then en_dw<='1'; opendoor<='0'; end if; elsif d55='1' or c_d55='1' then d55<='0'; c_d55<='0';opendoor<='1'; elsif dd_cc<"010000" then en_dw<='1'; opendoor<='0'; elsif dd_cc>"011111" then en_up<='1'; opendoor<='0'; end if;null elsif g6='1' then led<="0100000"; --电梯到达6楼,数码管显示6 if d66='1' or c_d66='1' then d66<='0'; c_d66<='0';opendoor<='1'; elsif dd_cc<"100000" then en_dw<='1'; opendoor<='0'; end if; else en_up<='0';en_dw<='0'; --电梯进入上升或下降状态 end if; end if;null else q<=1;alarm<='0'; --清除超载报警 if d1='1' then d11<=d1; --对电梯内人请求信号进行检测和寄存 elsif d2='1' then d22<=d2; elsif d3='1' then d33<=d3; elsif d4='1' then d44<=d4; elsif d5='1' then d55<=d5; elsif d6='1' then d66<=d6; end if; if c_u1='1' then c_u11<=c_u1; --对电梯外人上升请求信号进行检测和寄存 elsif c_u2='1' then c_u22<=c_u2; elsif c_u3='1' then c_u33<=c_u3; elsif c_u4='1' then c_u44<=c_u4; elsif c_u5='1' then c_u55<=c_u5; end if; null if c_d2='1' then c_d22<=c_d2; --对电梯外人下降请求信号进行检测和寄存 elsif c_d3='1' then c_d33<=c_d3; elsif c_d4='1' then c_d44<=c_d4; elsif c_d5='1' then c_d55<=c_d5; elsif c_d6='1' then c_d66<=c_d6; end if; null dd<=d66&d55&d44&d33&d22&d11; --电梯内人请求信号并置 cc_u<='0'&c_u55&c_u44&c_u33&c_u22&c_u11; --电梯外人上升请求信号并置 cc_d<=c_d66&c_d55&c_d44&c_d33&c_d22&'0'; --电梯外人下降请求信号并置 dd_cc<=dd or cc_u or cc_d; --电梯内、外人请求信号进行综合 end if; ud<=updown; --电梯运动状态显示 led_d<=dd; --电梯内人请求信号显示 led_c_u<=cc_u; --电梯外人上升请求信号显示 led_c_d<=cc_d; --电梯外人下降请求信号显示 end if; end process; end behav;电梯程序仿真电梯程序仿真注:由于以上输入信号在下面仿真中没用到,所以在下面仿真图中将不再出现。nullnull电梯停在1楼不停不停响应请求(c_u4),开门载客;进入预备上升状态 电梯上升请求信号c_d3、c_d2、c_u4和d6null响应请求(d_6),开门卸客;进入预备下降状态 到达3楼开门卸客,电梯进入预备下降状态 电梯上升电梯运动方向改变null超载超载警报 提前关门 关门中断 1跳到3 deng ,c_d3和d3电梯重新进入预备下降状态 关门中断的次数超过3次 故障报警 清除报警 null电梯执行完所有请求时电梯将停在1楼待机 电梯排除故障后继续运行 电梯下降
/
本文档为【浅析推垮型冒顶事故的发生机理】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索