为了正常的体验网站,请在浏览器设置里面开启Javascript功能!
首页 > 数字电路与逻辑设计实验指导书(电子系)

数字电路与逻辑设计实验指导书(电子系)

2021-07-07 4页 doc 986KB 75阅读

用户头像 个人认证

腐朽的灵魂

暂无简介

举报
数字电路与逻辑设计实验指导书(电子系)编号:时间:2021年x月x日书山有路勤为径,学海无涯苦作舟页码:第PAGE1页共NUMPAGES1页第PAGE\*MERGEFORMAT1页共NUMPAGES\*MERGEFORMAT1页数字电路与逻辑设计实验指导书主审:杨智主编:陈荣军原伟中山大学南方学院电子通信与软件工程系序言《数字电路与逻辑设计实验》是《数字电路与逻辑设计》的课程对口的实验课,是《数字电路与逻辑设计》课程的实验环节。通过本课程的学习,使学生进一步理解数字电子线路的工作原理、学会使用常用电子仪器、掌握基本的电子测量方法、调...
数字电路与逻辑设计实验指导书(电子系)
编号:时间:2021年x月x日山有路勤为径,学海无涯苦作舟页码:第PAGE1页共NUMPAGES1页第PAGE\*MERGEFORMAT1页共NUMPAGES\*MERGEFORMAT1页数字电路与逻辑设计实验指导书主审:杨智主编:陈荣军原伟中山大学南方学院电子通信与软件工程系序言《数字电路与逻辑设计实验》是《数字电路与逻辑设计》的课程对口的实验课,是《数字电路与逻辑设计》课程的实验环节。通过本课程的学习,使学生进一步理解数字电子线路的工作原理、学会使用常用电子仪器、掌握基本的电子测量方法、调整电路的基本实验技能,提高理论联系实际、知识综合应用能力。具体要求:1、能正确、地使用常用电子仪器;2、具有查阅常用电子器件手册的能力;3、根据技术要求能选用合适的元器件、组成实验电路,能进行组装及调试;4、具有分析、寻找和排除常见故障的能力;5、具有自行拟定实验步骤,分析和综合实验结果以及撰写实验报告的能力。《数字电路与逻辑设计实验指导书》是在2009年院内印刷讲义的基础上进行修订,由电子通信与软件工程系陈荣军讲师完成全面修订工作、杨智教授审定修改,《数字电路与逻辑设计实验指导书》适于本院电子信息科学与技术专业、通信工程专业、计算机科学与技术专业的学生使用,也可供相关专业的学生参考。电子通信与软件工程系目录TOC\o"1-1"\h\z\uHYPERLINK\l"_Toc305923934"《数字电路与逻辑设计实验》教学大纲PAGEREF_Toc305923934\h4HYPERLINK\l"_Toc305923935"实验2门电路逻辑功能及测试PAGEREF_Toc305923935\h7HYPERLINK\l"_Toc305923936"实验3组合逻辑电路的设计PAGEREF_Toc305923936\h11HYPERLINK\l"_Toc305923937"实验4组合逻辑电路(半加器全加器及逻辑运算)PAGEREF_Toc305923937\h13HYPERLINK\l"_Toc305923938"实验5译码器和数据选择器PAGEREF_Toc305923938\h17HYPERLINK\l"_Toc305923939"实验6竞争冒险PAGEREF_Toc305923939\h19HYPERLINK\l"_Toc305923940"实验7触发器工作原理与功能测试PAGEREF_Toc305923940\h21HYPERLINK\l"_Toc305923941"实验8集成计数器及寄存器的应用PAGEREF_Toc305923941\h24HYPERLINK\l"_Toc305923942"实验9 时序电路测试及研究PAGEREF_Toc305923942\h27HYPERLINK\l"_Toc305923943"实验10555时基电路PAGEREF_Toc305923943\h29HYPERLINK\l"_Toc305923944"附图:实验常用芯片引脚图PAGEREF_Toc305923944\h33《数字电路与逻辑设计实验》教学大纲课程名称:数字电路与逻辑设计实验(DigitalCircuitandLogicExperiments)课程类别:必修编号:学时:36主编姓名:陈荣军单位:电子通信与软件工程系职称:讲师主审姓名:单位:电子通信与软件工程系职称:授课对象:本科生专业:电子信息科学与技术年级:二年级上编写日期:2010年8月通信工程计算机科学与技术一、实验教学目的和任务《数字电路与逻辑设计实验》属于《数字电路与逻辑设计》课程理论联系实际的实验课程。目的是使学生通过该实验课程的学习进一步加深对理论课程的理解,掌握数字电路设计的基本技能、熟练掌握数字信号的测量方法;通过该实验课程进一步培养学生独立分析问和解决问题的能力。努力培养学生的创新精神和创新能力。二、实验教学的基本要求本实验课程主要以常规的TTL、CMOS中小规模数字电路器件为基础,通过本实验课程的学习使学生能够:1.通过实验学会数字电路实验需用的仪器及设备的使用。2.了解TTL、CMOS中小规模集成电路型号系列,使用注意事项。掌握常用数字集成电路的主要参数及逻辑功能的测试方法。3.学会分析和设计组合逻辑电路,并能用小规模集成电路和相应的中规模集成电路实现。4.学会分析和设计时序逻辑电路,并能用触发器或中规模计数器实现。5.初步具备设计、安装、调试数字电路的基本技能。三、实验内容及学时时安排实验项目的设置及学时分配序号实验项目名称内容简介实验学时实验类型实验要求每组人数1Multsim2001仿真软件练习1.熟悉Multsim2001软件的元件库2.练习仿真电路的绘制3.练习万用表和示波器的应用4.对基本门电路逻辑功能进行仿真测试3验证必修22门电路逻辑功能及测试1.测试四输入与非门74LS20的逻辑功能2.测试异或门的逻辑关系3.采用74LS00组成电路的逻辑功能4.采用与非门组成与门、或门、或非门并测试其逻辑关系5.测试与非门对脉冲的控制作用3验证必修23组合逻辑电路的设计在TPE-D型数字电路实验箱上用74LS00二输入端四与非门计出三人表决电路3设计选修24组合逻辑电路(加法器)1.组合逻辑电路功能测试2.测试半加器逻辑功能3.测试全加器逻辑功能3验证必修25译码器和数据选择器1.测试2-4译码器74LS139功能2.测试利用74LS139扩展的3-8译码器的功能3.测试数据选择器74LS153的逻辑功能3验证必修26双稳态触发器1.测试基本RS触发器的逻辑功能2.测试JK触发器的逻辑和计数功能3.测试D触发器的逻辑功能4.测试用JK触发器组成的应用电路的逻辑功能3验证必修27集成计数器1.集成计数器74LS90功能测试2.计数器级联3.任意进制计数器设计3设计必修28计数器芯片的应用1.测试集成计数器74LS161的功能2.利用74LS161设计一个其它进制的计数器3设计选修29计数器仿真实验1.仿真集成计数器74LS290的功能2.仿真利用74LS290组成的十进制和二-五进制的逻辑功能3.仿真利用74LS290组成的六进制的逻辑功能3设计选修210555集定时器实验用555定时器构成施密特触发器;构成单稳态触发器;设计一个过电压,欠电压声光报警电路,电路正常工作电压为5V,要求当电压超过5.5V(过电压),和低于4.5V(欠电压)时都要报警.3设计选修211时序逻辑电路测试及研究1.异步二进制计数器测试及研究2.异步二-十进制加法计数器测试及研究3.环形计数器测试及研究3验证必修212竞争冒险用74LS86、74LS10、74LS20搭建一个八位串行奇偶校验电路,观察竞争冒险现象,分析消除方法。3验证必修213综合实验使用中、小规模集成电路设计与制作一台数字显示时、分、秒的闹钟设计要求:(1)能进行正常的时、分、秒计时功能;(2)能进行手动校时;(3)能进行整点报时;(4)能在整点时刻与电台所报时间校对;(5)具有定点闹时功能;(6)能自动按预定程序顺序自动报时。6综合设计选修3注:必修实验24学时,选修实验12学时,共需修36学时。四、使用说明1.与其他课程的联系本课程的先修课程有《电子信息测量基础实验》、《电路与电子学实验》等,后继课程有《微机原理与应用》、《单片机原理与应用》等,数字电路仿真实验内容与《电路仿真实践》有部分交叉,本门课重点在数电实验内容,详细仿真技术在《电路仿真实践》中讲解。2.教学方式课堂讲授、实验指导、小组讨论、项目设计等。3.考核方式《数字电路与逻辑设计实验》为必修课程,以学生课前预习报告成绩、课堂实验操作成绩和课后实验报告成绩按25%、50%、25%的比例计算学期总成绩。五、主要参考书目1.《数字电路与逻辑设计实验》内部讲义2.《数字电子技术基础》高等教育出版社阎石主编第五版20063.电工及电子技术实验天津科学技术出版社魏清海第一版20014.Multisim7&电子技术实验浙江大学出版社黄培根奚慧平第一版2005实验2门电路逻辑功能及测试一、实验目的1.熟悉门电路逻辑功能.2.熟悉数字电路学习机使用方法。二、实验仪器及材料1.TPE-D型数字电路学习机2.双踪示波器3.器件74LS00二输入端四与非门2片74LS20四输人端双与非门1片74LS86二输入端四异或门1片74LS04六反相器1片三、预习要求1.复习门电路工作原理及相应逻辑表达式.2.熟悉所用集成电路的引线位置及各引线用途.图2.1四、实验内容实验前按学习机使用说明先检查学习机电源是否正常然后选择实验用的集成电路·按自己设计的实验接线图接好连线.特别注意VCC及地线不能接错.线接好后经实验指导教师检查无误方可通电实验.实验中改动接线须先断开电源,接好线后再通电实验。1.测试门电路逻辑功能(1)。选用双四输入与非门74LS20一只,插入面包板按图2。1接线、输入端接S1~S4(电平开关输出插口)。输出端接电平显示发光二级管(D1~D8任意一个)(2).将电平开关按表2.1置位,分别测输出电压及逻辑状态.表2.1输入输出1234Y电压(V)HHHHLHHHLLHHLLLHLLLL2.异或门逻辑功能测试图2.2(1).选二输入四异或门电路74LS86,按图2.2接线,输人端1、2、4、5接电平开关,输出端A、B、Y接电子显示发光二极管。(2).将电平开关按表2.2位,将结果填人表中。表2.2输入输出ABYY电压(V)LLLLHLLLHHLLHHHLHHHHLHLH3.逻辑电路的逻辑关系(1).用74LS00按图2。3,2.4接线,将输人输出逻辑关系分别用人表2.3、表2.4中,表2.3图2.3输入输出ABLLLHHLHH表2.4输入输出ABYZLLHHLHLH图2.4(2).写出上面两个电路逻辑表达式.4.用与非门组成其它门电路并测试验证.(1).组成或非门.用一片二输入端四与非门组成或非门画出电路图,测试并填表2.5表2.5表2.6输入输出ABYABY0011010100110101(2).组成异或门(a)将异或门表达式转化为与非门表达式。(b)画出逻辑电路图。(c)测试并填表2.6。 五、实验报告要求1.整理实验结果,填入相应表格中,并写出逻辑表达式。2.小结实验心得体会。实验3组合逻辑电路的设计一、设计目的1、掌握用门电路设计组合逻辑电路的方法。2、掌握用中规模集成组合逻辑芯片设计组合逻辑电路的方法。3、要求同学们能够根据给定的题目,用多种方法设计电路。二、设计要求1、用两种方法设计三人多数表决电路。2、分析各种方法的优点和缺点。3、思考四人多数表决电路的设计方法。要求用两种方法设计一个三人多数表决电路。要求自拟实验步骤,用所给芯片实现电路。三、参考电路设按键同意灯亮为输入高电平(逻辑为1),否则,不按键同意 为输入低电平(逻辑为0)。输出逻辑为1表示赞成;输出逻辑为0表示表示反对。根据题意和以上设定,列逻辑状态表如表3-1。表3-1ABCF00000010010001111000101111011111       由逻辑状态表可知,能使输出逻辑为1的只有四项:第4、6、7、8  项。故,表决器的辑逻表达式应是:                                 从化简后的逻辑表达式可知,前一项括号中表达的是一个异或门 关系。因此,作逻辑图如下。                        图3—1三人表决电路经常用来设计组合逻辑电路的MSI芯片主要是:译码器和数据选择器。设计步骤前几步同上,写出的逻辑函数表达式可以不化简,直接用最小项之和的形式,然后根据题目要求选择合适的器件,并且画出原理图实现。四、实验设备与器件本实验的设备和器件如下:实验设备:数字逻辑实验箱,万用表及工具;实验器件:74LS00、74LS02、74LS04、74LS20、74LS11、74LS86等。五、实验报告要求1、写出具体设计步骤,画出实验线路。2、根据实验结果分析各种设计方法的优点及使用场合。3、回答四人多数表决电路的设计方法。实验4组合逻辑电路(半加器全加器及逻辑运算)一、实验目的1.掌握组合逻辑电路的功能调试。2。验证半加器和全加器的逻辑功能。3。学会二进制数的运算规律。二、实验仪器及材料器件74LS00二输入端四与非门3片74LS86二输入端四异或门1片三、预习要求1.预习组合逻辑电路的分析方法.2.预习用与非门和异或门构成的半加器、全加器的工作原理。3.预习二进制数的运算。四、实验内容1.组合逻辑电路功能测试。图4.1(1).用2片74LS00组成图4.1所示逻辑电路。为便于接线和检查.在图中要注明芯片编号及各引脚对应的编号。(2).图中A、B、C接电平开关,YI,Y2接发光管电平显示.(3)。按表4。1要求,改变A、B、C的状态填表并写出Y1,Y2逻辑表达式.(4).将运算结果与实验比较.表4.1输入输出ABCY1Y20001111000111001011100102.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能.根据半加器的逻辑表达式可知.半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图4.2.(1).在学习机上用异或门和与门接成以上电路.接电平开关S.Y、Z接电平显示.(2).按表4.2要求改变A、B状态,填表.图4.2表4.2输入端A0101B0011输出端YZ3.测试全加器的逻辑功能。(1).写出图4.3电路的逻辑表达式。(2).根据逻辑表达式列真值表.(3).根据真值表画逻辑函数Si、Ci的卡诺图.图4.3(4).填写表4.3各点状态表4.3ABCYZX1X2X3SC000010100110001011101111(5).按原理图选择与非门并接线进行测试,将测试结果记入表4.4,并与上表进行比较看逻辑功能是否一致.表4.4ABCCS000010100110001011101111输入端A00001111B00110011C01010101输出端CS五、实验报告1.整理实验数据、图表并对实验结果进行分析讨论。2.总结组合逻辑电路的分析方法。实验5译码器和数据选择器一、实验目的1.熟悉集成译码器。2.了解集成译码器应用。3.熟悉数据选择器。二、实验仪器及材料1.双踪示波器2.器件74LS1392—4线译码器1片74LS153双4选1数据选择器l片74LS00二输入端四与非门1片三、实验内容1.译码器功能测试将74LS139译码器按图5.1接线,按表5.l输入电平分别置位、填输出状态表表5.1输入输出使能选择GBAY0Y1Y2Y3HXXLLLLLHLHLLHH图5。12.译码器转换将双2一4线译码器转换为3—8线译码器。(1)画出转换电路图。(2)在学习机上接线并验证设计是否正确。(3)设计并填写该3一8线译码器功能表,画出输入、输出波形。3.数据选择器的测试及应用(1)将双4选1数据选择器7LS153参照图5.2接线.测试其功能并填写功能表(2)将学习机脉冲信号源中固定连续脉冲4个不同频率的信号接到数据选择器4个输入端,将选择端置位,使输出端可分别观察到4种不同频率脉冲信号.(3)分析上述实验结果并总结数据选择器作用。图5.2表5.2选择端数据输入端输出控制输出BAC0C1C2C3GYXXXXXXHLLLXXXLLLHXXXLLHXLXXLLHXHXXLHLXXLXLHLXXHXLHHXXXLLHHXXXHL四、实验报告1.画出实验要求的波形图。2.画出实验内容2的电路图。3.总结译码器和数据选择的使用体会。实验6竞争冒险一、实验目的通过实验观察组合电路中存在的竟争冒险现象,学会用实验手段消除竞争冒险对电路的影响.二、实验材料TTL芯片:74LS86四2输入异或门2片74LS10三3输入入与非门2片74LS20四输入双与非门1片三、实验内容1.八位串行奇仍校验电路竞争冒险现象的观察及消除。图6.1所示电路为八位串行奇偶校验电路。图6.1八位串行奇、偶校验电路按图接线。测试电路的逻辑功能。a.b…g,h分别接逻辑开关K1;~K9,z接发光二级管显示。改变K1~K8的状态.观察并记录Z的变化。a接脉冲.b.c··h接高电平.用示波器观察并记录a和y湍的波形测出信号经七级异或门的延迟时间。a和h端接同一脉冲.b.c··g为高电平.观察并记录a和y端的波形。说明y端的波形有何异常现象?若采用加电容的办法来消除此异常现象.则电容C应接在何处?测出门电路的阈值电压V,若设门的输出电阻R0≈100Ω,估算电容C值的大小?用实验法测出消除上述异常现象所需的电容值.说明产生误差的原因有哪些?2.组合电路竞争冒险现象的观察及消除组合电路如图6.2所示。测试电路功能.结果列成真值表形式。用实验法测定.在信号变化过程中.竟争冒险在何处,什么时刻可能出现?用校正项的办法来消除竟争冒险.则电路应怎洋修改?画出修改后的电路.并用实验验证之。若改用加滤波电容的办法来消除竞争冒险.则电容C应加在何处?其值约为多大?试通过实验验证之。三、思考题什么叫组合电路的竞争冒险现象?它是怎样产生的名常有哪几种消除的办法?实验中你认为较为简单的方法是哪种?使用时应注意什么问题?图6.2实验7触发器工作原理与功能测试一、实验目的1.熟悉并掌握R—S、D、J—K触发器的构成,工作原理和功能测试方法.2.学会正确使用触发器集成芯片.二、实验仪器及材料1.双踪示波器2.器件74LS00二输人端四与非门1片74LS74双D触发器1片74LSllZRJ—K触发器1片三、实验内容1.基本R—SFF功能测试:两个TTL与非门首尾相接构成的基本R—SFF的电路如图7.1所示.(1)试按下面的顺序在,端加信号:=0=1=1=1=1=0图7.1基本R—SFF电路=1=1观来并记录FF的Q、端的状态,将结果填入下表7。1中,并说明在上述各种输入状态下FF执行的是什么功能?表7.1Q逻辑功能01111101(2)端接低电平.端加脉冲。(3)端接高电子.端加脉冲。(4)连接Rd、Sd,并加脉冲记录并观察(2)、(3)、(4)三种情况下,Q,端的状态.从中你能否总结出基本R一SFF的Q或端的状态改变和输人端,的关系。(5)当、都接低电平时,观察Q、端的状态。当、同时由低电平跳为高电平时,注意观察Q、端的状态,重复3~5次看Q、端的状态是否相同,以正确理解“不定”状态的含义。2.维持一阻塞型D触发器功能测试双D型正边沿维持一阻塞型触发器74LS74的逻辑符号如图7.2所示。图中、端为异步置1端,置0端(或称异步置位,复位;端).CP为时钟脉冲端。试按下面步骤做实验:(1)分别在、端加低电平,观察并记录Q、端的状态。(2)令、端为高电平,D端分别接高,低电平,用点动脉冲作为CP,观察并记录当CP为O、↑、1、↓时Q端状态的变化。(3)当==1、CP=0(或CP=1).改变D端信号,观察Q图7.2DFF逻辑符号端的状态是否变化?整理上述实验数据,将结果填入下表7.2中.(4)令==1,将D和端相连,CP加连续脉冲,用双踪示波器观察并记录Q相对于CP的波形.表7.2CPDQQ01XX0110XX0111001111013.负边沿J—K触发器功能测试双J—K负边沿触发器74LS112芯片的逻辑符号如图7.3所示。自拟实验步骤,测试其功能,并将结果填入表7.3中.若令J=K=1时,CP端加连续脉冲,用双踪示波器观察Q~CP波形,和DFF的D和端相连时观察到的Q端的波形相比较,有何异同点?图7.3J—FF逻辑符号表7.3CPJKQ01XXXX10xXXX110X0111X011X0111X11四、实验报告1.整理实验数据并填表.2.写出实验内容3、4的实验步骤及表达式.3.画出实验4的电路图及相应表格.4.总结各类触发器特点。实验8集成计数器及寄存器的应用一、实验目的1.熟悉集成计数器逻辑功能和各控制端作用。2.掌握计数器使用方法。二、实验仪器及材料1.双踪示波器2.器件74LS90十进制计数器2片74LS00二输入端四与非门1片三、实验内容及步骤1.集成计数器74LS90功能测试。74LS90是二一五一十进制异步计数器。逻辑简图为图8.1所示。图8.174LS90具有下述功能:·直接置,直接置9(S9(1,·S,.:,=1)·二进制计数(CP、输入QA输出)·五进制计数(CP2输入QDQCQB箱出)·十进制计数(两种接法如图8.2A、B所示)按芯片引脚图分别测试上述功能,并填入表8.1、表8.2、表8.3中。图8.2十进制计数器2.计数器级连分别用2片74LS90计数器级连成二一五混合进制、十进制计数器。画出连线电路图。按图接线,并将输出端接到数码显示器的相应输入端,用单脉冲作为输入脉冲验证设计是否正确。画出四位十进制计数器连接图并总结多级计数级连规律。表8.1功能表表8.2二-五混合进制表8.3十进制3.任意进制计数器设计方法采用脉冲反馈法(称复位法或置位法)。可用74LS90组成任意模(M)计数器。图8.3是用74LS90实现模7计数器的两种,图(A)采用复位法。即计数计到M异步清0。图(B)采用置位法,即计数计到M一1异步置0。图8.374LS90实现七进进制计数方法当实现十以上进制的计数器时可将多片级连使用。图8.4是45进制计数的一种方案.输出为8421BCD码.图8.4按图8.4接线,并将输出接到显示器上验证。设计一个六十进制计数器并接线验证。记录上述实验各级同步波形。四、实验报告1.整理实验内容和各实验数据。2.画出实验内容1,2所要求的电路图及波形图。3.总结计数器使用特点实验9  时序电路测试及研究一、实验目的掌握常用的时序电路分析,设计及测试方法。训练独立进行实验的技能。二、实验仪器及材料双踪示波器器件    74LS73双J―K触发器2片74LS175四D触发器1片三、实验内容图9.1异步二进制计数器(1)按图9.1接线。(2)由CP端输入单脉冲,测试并记录Q1~Q4端状态及波形。(3)试将异步二进制加法计数改为减法计数,参考加法计数器,要求实验并记录。图9.2自循环移位寄存器―环形计数器。(1)按图9.3接线,将A、B、C、D置为1000,用单脉冲计数,记录各触发器状态。(2)改为连续脉冲计数,并将其中的一个状态为“0”的触发器置为“1”(模拟干扰信号作用的结果)。观察计数器能否正常工作。分析原因。四、实验报告画出实验内容要求的波形及记录表格。总结时序电路特点。实验10555时基电路一、实验目的1.掌握555对基电路的结构和工作原理.学会对此芯片的正确使用。2.学会分析和测试用555时基电路构成的多谐振荡器.单稳态触发器,RS触发器等三种典型电路。二、实验仪器及材料1.示波器2.器件NE556,(或LM556,5G556等)双时基电路1片二极管1N41482只电位器22K.IK2只电阻、电容若干扬声器一支三、实验内容1.555时基电路功能测试本实验所用的555时基电路芯片为NE556.同一芯片上集成了二个各自独工的555时基电路,图中各管脚的功能简述如下:TH高电平触发端:当TH端电平大干2/3Vcc,输出端OUT呈低电平.DIS端导通。低电平触发端:当端电平小于1。3Vcc时.OUT端呈现高电平.DIS端关断。复位端:=0.OUT端输出低电平.DIS端导通。VC控制电压端:VC接不同的电压值可以改变TH。的触发电平值。DIS放电端:其导通或关断为RC回路提供了放电或充电的通路。OUT输出端:表10.1THOUTDISXXLL导通>2/3Vcc>1/3VccHL导通<2/3Vcc>1/3VccH原状态原状态<2/3Vcc<1/3VccHH关断芯片的功能如表10.1所示.管脚如图10.1所示.功能简图如图10.2所示。(1).按图10。3接线.可凋电压取自电位器分压器。(2).按表10.1逐项测试其功能并记录。2.555时基电路构成的多谐振荡器,电路如图9.4所示。图10.l时基电路556f脚图图10.2时基电用功能简图图10.3测试接线图图10.4多诺振荡器电路(1).按图接线。图中元件参数如下:R1=15KΩR2=5KΩC1=0.033μFC2=0.1μF(2).用示波器观察并测量OUT端波形的频率。和理论估算值比较.算出频率的相对误差值。(3).若将电阻值改为R1=5KΩ.R2=10KΩ.电容C不变,上述的数据有何变化?(4)。根据上述电路的原理.充电回路的支路是R1R2R1,放电回路的支路是R2C1,将电路略作修改.增加一个电位器Rw和两个引导二极管,构成图10.5所示的占空比可调的多谐振荡器。其占空比为q=改变Rw的位置.可调节q值。合理选择元件参数(电位器选用22KΩ).使电路的占空比q=0.2,调试正脉冲宽度为0.2ms。调试电路,测出所用元件的数值,估算电路的误差。3.555构成的单稳态触发器图10.5占空比可调的多谐振荡器电路图10.6单稳态过发器电路实验如图10.6所示。(1).按图9.6接线,图中R=10KΩ,C1=0.01μF,V1是频率约为10KHZ左右的方波时,用双踪示波器观察OUT端相对于V1的波形.并测出输出脉冲的宽度T。(2).调节V1的频率.分析并记录观察到的OUT端波形的变化。(3).若想使Tw=10μS,怎样调整电路?因出此时各有关的参数值。4.555时基电路构成的R—S触发器实验如图10.7所示。(1)、先令VC端悬空.调节R。端的输入电平值,观察V0的状态在什么时刻由0变1.或由1变0?测出V。的状态切换时.R万端的电(2).若要保持V0端的状态不变.用实验法测定R、三端应在什么电平范围内?整理实验数据,列成真值表的形式。和R—SFF比较.逻辑电平·功能等有何异同。(3)。若在VC端加直流电压Vc-v.并令Vc-v分别为2V.4V时.测出此时V0图10.7R一S触发器电路状态保持和切换时R、端应加的电压值是多少?试用实验法测定。5.应用电路图10·8所示用556的两个时基电路构成低频对高频调制的救护车警铃电路。(l).参考实验内容2确定图10.8中未定元件参数.(2).按图接线,注意扬声器先不接。(3).用示波器观察输出波形并记录.(4).接上扬声器,调整参数到声响效果满意。图10.8用时基电路组成警铃电路6.时基电路使用说明556定时器的电源电压范围较宽,可在-5~-16V范围内使用(若为CMOS的555芯片则电压范围在,+3一+18V内)电路的输出有缓冲器.因而有较强的带负载能力,双极性定时器最大的灌电流和拉电流都在200mA左右.因而可直接推动TTL或CMOS电路中的各种电路.包括能直接推动蜂呜器等器件。本实验所使用的电源电压Vcc=+5V。四、实验报告1.按实验内容各步要求整理实验数据。2.画出实验内容3和5中的相应波形图。3.画出实验内容5最终调试满意的电路图并标出各元件参数。4.总结时基电路基本电路及使用方法。附图:实验常用芯片引脚图
/
本文档为【数字电路与逻辑设计实验指导书(电子系)】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索