为了正常的体验网站,请在浏览器设置里面开启Javascript功能!

vhdl语言四输入与门

2013-12-12 1页 doc 12KB 95阅读

用户头像

is_873870

暂无简介

举报
vhdl语言四输入与门用vhdl设计四输入与门,两种方法2010-11-0122:44碧草cc|分类:其他编程语言|浏览1032次急,谢谢!要过程分享到:检举|2010-11-0218:35提问者采纳第一种方法,程序如下:libraryieee;useieee.std_logic_1164.all;entityand4isport(a,b,c,d:instd_logic;z:outstd_logic);endand4;architecturemediedofand4isbeginz<=(aandb)and(candd);endmedied;第...
vhdl语言四输入与门
用vhdl四输入与门,两种方法2010-11-0122:44碧草cc|分类:其他编程语言|浏览1032次急,谢谢!要过程分享到:检举|2010-11-0218:35提问者采纳第一种方法,程序如下:libraryieee;useieee.std_logic_1164.all;entityand4isport(a,b,c,d:instd_logic;z:outstd_logic);endand4;architecturemediedofand4isbeginz<=(aandb)and(candd);endmedied;第二种方法,程序如下:libraryieee;useieee.std_logic_1164.all;entityand4isport(a,b,c,d:instd_logic;z:outstd_logic);endand4;architecturemediedofand4issignalabcd:std_logic_vector(3downto0);beginabcd<=a&b&c&d;process(abcd)begincaseabcdiswhen"1111"=>Z<='1';whenothers=>z<='0';endcase;endprocess;endmedied;
/
本文档为【vhdl语言四输入与门】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索