为了正常的体验网站,请在浏览器设置里面开启Javascript功能!

天津大学硬件第1章

2012-09-21 50页 ppt 2MB 14阅读

用户头像

is_342558

暂无简介

举报
天津大学硬件第1章nullnull第1章 微型计算机系统概述及基础知识主要内容主要内容1.1 微型计算机概述 1.2 计算机中的数制 1.3 二进制数的运算 1.4 带符号二进制数的表示及运算 1.5 小数的表示 1.6 字符编码 1.1 概述1.1 概述主要内容: 1.1.1 微型机的发展概况 1.1.2 微型机系统组成 1.1.3 微型机工作原理简介 1.1.4 微型机主要性能指标1.1.1 微型计算机的发展概况1.1.1 微型计算机的发展概况 电子计算机:1946 年 ENIAC ...
天津大学硬件第1章
nullnull第1章 微型计算机系统概述及基础知识主要内容主要内容1.1 微型计算机概述 1.2 计算机中的数制 1.3 二进制数的运算 1.4 带符号二进制数的示及运算 1.5 小数的表示 1.6 字符编码 1.1 概述1.1 概述主要内容: 1.1.1 微型机的发展概况 1.1.2 微型机系统组成 1.1.3 微型机工作原理简介 1.1.4 微型机主要性能指标1.1.1 微型计算机的发展概况1.1.1 微型计算机的发展概况 电子计算机:1946 年 ENIAC Electronic Numerical Integrator And Calculator 微处理器: 1971年第一次出现,它集成2300个晶体管、有4位带宽 工作频率为 108kHz、能寻址640B 微处理器: 微机的中央处理器CPU,又称为运算控制器 微型计算机:70年代诞生第一台(Apple公司)微处理器(Microprocessor)的发展微处理器(Microprocessor)的发展16位8086/8088、80286 32位80386、80486 Pentium(奔腾或80586) Pentium Pro(高能奔腾) Pentium MMX(多能奔腾) PentiumⅡ(二代奔腾) Pentium Ⅲ(三代奔腾) Pentium Ⅳ(四代奔腾)等 单核微处理器到双核、多核微处理器微处理器 微机 发展1.1.2 微型计算机系统组成1.1.2 微型计算机系统组成输出设备(显示器、打印机、绘图仪)(可作输入、输出设备)主机专用软件包 用户应用程序微机系统硬件系统微处理器内存储器 总线 I/O接口运算器控制器外设输入设备(键盘、鼠标、扫描仪) 外存储器通信设备(网卡、调制解调器)软件系统系统软件应用软件磁盘 光盘语言处理程序 操作系统 数据库管理系统 服务程序下面分别介绍1. 微机硬件系统1. 微机硬件系统以运算器为核心、以存储程序原理为基础 主要特性: 计算机(指硬件)由五大基本部件组成; 计算机内部采用二进制表示指令和数据; 将事先编好的程序、原始数据存入存储器,再启动计算机工作。存储器运算器输出设备控制器输入设备五大基本部件遵循冯·诺伊曼计算机结构 微机硬件基本组成框图微机硬件基本组成框图显示器微处理器 (控制器和运算器)芯片组BIOS (基本输入/输出系统)主存储器 (RAM)高速缓存 (Cache)I/O接口磁盘…I/O接口I/O接口I/O接口其他外设…总线主板CMOS RAM鼠标主板实例主板实例CPU及其插座、芯片组、内存插槽、高速缓存(Cache) 总线扩展槽、串行/并行接口、CMOS、系统BIOS 等586微机主板结构Intel 845GE 主板Intel 845GE 主板1.微处理器(Micro Processor) 1.微处理器(Micro Processor) 组成:运算器、控制器、寄存器、内部线路 运算器(算术运算、逻辑运算部件) 依靠算术逻辑部件ALU (Arithmetic Logical Unit) 控制器(控制部件,指挥各部件按指令完成操作) 由指令寄存器、指令译码器、程序计数器、控制部件等组成 寄存器(存放信息部件) 用于存放指令、指令地址、操作数及运算结果等。 内部线路(总线) 用于连接微处理器内部各部件,提供传送信息通路。2.主存储器(Memory) 2.主存储器(Memory) 简称主存、内存,记忆部件,用于存放信息。 程序只有放入主存,才能供CPU执行。 主存分成多个存储单元,通常1个存储单元是1个字节(8位) 每个存储单元有1个编号(内存地址或地址) 即1个字节有1个编号插到内存插槽中3.高速缓冲存储器(Cache)3.高速缓冲存储器(Cache) 简称高速缓存 解决微处理器、主存读写速度不匹配 Cache结构从单级向多级发展 2级Cache包括1级Cache、2级Cache 1级集成在微处理器芯片内,2级在主板上4.CMOS芯片4.CMOS芯片主板上的一块CMOS RAM(简称CMOS)芯片 用于存储计算机的一些配置信息 如系统时间、磁盘、光盘、显示器、存储器等参数 开机后,可进入Setup程序修改CMOS信息 CMOS由电池供电,关机后信息不丢失5.BIOS芯片5.BIOS芯片BIOS:Basic Input Output System 是一块只读存储器ROM (Read-Only Memory) BIOS存储基本输入、输出程序 由厂家采用特殊方法写入 用户只能读出BIOS内容,不能修改 断电后,BIOS信息不丢失6.芯片组6.芯片组 固定在主板上的几块集成电路芯片 主板上能安装何种档次的CPU由芯片组决定芯片组7.总线(BUS)7.总线(BUS)是传送信息的一组通信线 用于连接CPU、主存、I/O接口,与外设传送信息 地址总线AB Address Bus CPU主存储器I/O接口I/O设备 数据总线DB Data Bus控制总线CB Control Bus三类总线用途举例三类总线用途举例如: 文件 存到 E盘 涉及:数据线 控制线 地址线文件内容 操作类型 选择盘 8.输入/输出接口(Input/Output Port)8.输入/输出接口(Input/Output Port)较复杂的I/O接口电路都做在电路板上,称为卡(Card) 如显示卡、网卡、声卡等 在卡的一侧引出连接外设的插座,另一侧做成插入端 将插入端插到总线插槽(I/O通道)上,即把接口与系统总线相连主机(总线)外设外设接口电路插入端外设插座I/O接口卡举例(显示卡、显示适配器)I/O接口卡举例(显示卡、显示适配器)是主机与显示器之间的连接部件,插在主板的I/O扩展槽上 显卡分为:PCI显卡、AGP显卡 显卡上部件包括:主机接口、显示器接口、显示功能三部分主机(总线)显示器显卡(显示器接口电路)Video port 接Monitor外设插座外设插座2.软件系统2.软件系统包括: 系统软件和应用软件 系统软件包括: 操作系统、语言处理程序、数据库管理系统、服务程序等 语言处理(翻译)程序语言处理(翻译)程序1.机器语言:二进制代码指令集合(机器能直接识别、执行) 2.汇编语言:机器语言的符号化表示(机器不能识别,需翻译) 3.高级语言:接近自然语言形式的程序设计语言(需翻译) AL=3+5 end MOVE AL, 3 ADD AL, 5 HALT1011000000000011 0000010000000101 11110100 机器语言程序 汇编语言源程序 Basic语言源程序 3+5翻译成汇编成1.1.3 微型机工作原理简介1.1.3 微型机工作原理简介取指令MOVE AL, 3 ADD AL, 5 HLT取指令执行指令例 完成 3+5 运算程序的执行过程 1 取出第1条指令,翻译后执行指令, 即取出数据3,并送到累加器AL中 2 取出第2条指令,翻译后执行指令 即把累加器AL中数据与5相加,结果存AL中 3  取出第3条指令,翻译后执行指令(即停机)解释指令指令放在内存中FetchDecode Execute 1.1.4 微型机主要性能指标1.1.4 微型机主要性能指标包括:字长、运算速度、外频、主频、存储容量等 字长 CPU一次同时处理的二进制位数。如16位、32位、64位 运算速度 每秒钟执行指令的条数,用MIPS”百万条/秒”描述 (MIPS:Million Instruction Per Second)接下页1.1.4 微机主要性能指标1.1.4 微机主要性能指标外频 CPU总线频率,主板向CPU提供的基准时钟频率,是CPU与其他部件之间的工作频率。 主频 CPU工作频率,CPU内部实际运行频率。 Pentium 4主频在1GHz以上。 主频与外频关系 486DX2以前的CPU,主频=外频 486DX2之后的CPU,主频=外频倍频系数2.存储容量 2.存储容量 b(Bit 比特 位) = 最小单位 1B(Byte 字节) = 8Bit 例如,11001010是1个字节 1W(Word 字) = 2B = 16Bit 1KB(Kilobyte 千字节) = 1024B = 210B 1MB(Megabyte 兆字节) = 1024KB 1GB(Gigabyte 吉字节) = 1024MB 1TB(Terabyte 太字节) = 1024GB 1PB(Petabyte 拍字节) = 1024TB容量计算容量计算问:64KB=( )bit 答:64×1024B×8=65536 bit 问:64MB=( )bit 答:64×1024×1024B×8=67108864 bit1.2 计算机中的数制1.2 计算机中的数制十进制:数字符号 0~9 ,逢十进一, 用D(Decimal)代表。 例 34.98 D  或  (34.98)D  或 34.98 二进制:数字符号 0~1 ,逢二进一,用B(Binary)表示。 例 1010B , 1101.11 B 或  (1101.11)B 八进制:数字符号 0~7 ,逢八进一, 用O(Octal)代表。 例 127. 546O 或 (727.76 )o 十六进制:数字符号0~9、A~F , 逢十六进一,用H表示 Hexadecimal 例 5CD. BFH 或 (A97D.BF)H各种数制之间的转换各种数制之间的转换十进制数转换成其他进制数 其他进制转换成数十进制数 十进制数转为二进制数十进制数转为二进制数例 十进制 29.25 转为二进制,结果为(11101.01)2 整数除2取余 余数 2 2 9 → 1 低位 2 1 4 → 0 2 7 → 1 2 3 → 1 2 1 → 1 高位 0小数乘2取整 0. 2 5 × 2 0 0. 5 0 0. 5 × 2 1 1. 0 十进制转为八、十六进制数十进制转为八、十六进制数8 237 5 8 29 5 8 3 3 0 (237)10=(355)816 237 D(13) 16 14 E(14) 0 (237)10=(ED)16十进制数转为八进制数规则:整数除8取余,小数乘8取整。 十进制数转为十六进制数: 整数除16取余,小数乘16取整。二进制、十六进制转为十进制数二进制、十六进制转为十进制数 转换规则: 按相应的权表达式展开 (B)2=Bn-1×2n-1+Bn-2×2n-2 +…+ B0×20+B-1×2-1+B-2×2-2+ …+B-m×2-m 例 二进制数 1 1 1 1 1 . 1 1 权→ 24 23 22 21 20 2-1 2-2 16+ 8 + 4 + 2 + 1 +0.5+0.25 = 31.75 (H)16=Hn-1×16n-1+Hn-2×16n-2+…+H0×160+H-1×16-1+H-2×16-2+ …+H-m×16-m 例 24.A H = 2×161 + 4×160 + 10×16-1 = 36.625二进制与十六进制的相互转换二进制与十六进制的相互转换例: 十六进制数F9A.C变成二进制 方法:1位十六进制数用4位二进制数替换 F 9 A. C     1111 1001 1010. 1100 (F9A.C)16=(111110011010.1100)2进制对照进制对照 4 位二进制数对应 1 位十六进制数 十进制 二进制(B) 十六进制(H) 0 0000 0 ┇ ┇ ┇ 9 1001 9 10 1010 A 11 1011 B 12 1100 C 13 1101 D 14 1110 E 15 1111 F 16 10000 101.3 二进制数的运算1.3 二进制数的运算算术运算 逻辑运算下面分别介绍1.3.1 二进制数的算术运算1.3.1 二进制数的算术运算 加:0+0=0 0+1=1 1+0=1 1+1=0 (有进位) 减:0-0=0 1-1=0 1-0=1 0-1=1 (有借位) 乘:1×1=1 其余皆为0 (乘以2相当于左移一位) 除:1÷1=1 0÷1=0 (除以2相当于右移一位)例 1011-111=0100(减法) 1011 - 111 0100例 1001+101=1110(加法) 1001 + 101 1110乘法和除法举例乘法和除法举例例 1101×101=1000001 例 110010÷101=1010 1101  101 1101 0000 + 1101 1000001 0 本例商=1010B 余数=0B 若除不尽,会有余数1.3.2 二进制数的表示范围1.3.2 二进制数的表示范围 数据范围由二进制数的位数决定,0~2n-1 (n位) 例 n=8 0~28-1 (0~255) 最小二进制数:00000000 即十进制数0 最大二进制数:11111111 即十进制数 28-1不考虑数据的符号(无符号数)溢出现象溢出现象 若运算结果超出范围,则产生溢出。 最高位向前有进位(或借位),溢出。1 00000000+ 00000001 11111111 结果超出8位,最高位向前有进位,溢出了设用8位表示数据1.3.3 二进制数的逻辑运算1.3.3 二进制数的逻辑运算逻辑运算:逻辑量之间的运算 逻辑量:用0、1表示(区分)两种状态,如电压高、低 “与”运算 “或”运算 “非”运算 “异或”运算下面分别介绍“与”运算 (AND)“与”运算 (AND)运算规则:0∧0=0 0∧1=0 1∧0=0 1∧1=1  即任何数和“0”相“与”,结果为”0” 例 00000101B∧00000111B=00000101B 00000101 AND 00000111 00000101“或”运算 (OR),常用∨符号表示“或”运算 (OR),常用∨符号表示 运算规则:0∨0=0 0∨1 =1 1∨0=1 1∨1=1  即任何数和”1”相或,结果为”1” 例 00000101B∨00000111B=00000111B 00000101 OR 00000111 00000111“非”运算 (NOT),常用-符号表示“非”运算 (NOT),常用-符号表示运算规则:0=1  1=0 (即按位求反) 例 二进制数00000101 “非”运算结果是 11111010 “异或”运算 (XOR),常用符号表示“异或”运算 (XOR),常用符号表示运算规则:00=0 01=1 10=1 11=0 (即相同为0,不同为1) 例 00000101B00000111B=00000010B 00000101 XOR 00000111 000000101.3.4 基本逻辑电路1.3.4 基本逻辑电路1. 基本逻辑门 2. 三态电路 3. 译码器 下面分别介绍1. 基本逻辑门电路1. 基本逻辑门电路“与”门——“与”运算 “或”门——“或”运算 “非”门——“非”运算 “异或”门——“异或”运算下面分别介绍“与”门“与”门即支持“与”运算的逻辑电路&BAC A  B  C 0  0  0 0  1  0 1  0  0 1  1  1与门逻辑图真值表输出端输入端C=A∧B“或”门“或”门即支持“或”运算的逻辑电路A  B  C 0  0  0 0  1  1 1  0  1 1  1  1≥1ABC或门逻辑图输入端输出端C=A∨B真值表“非”门“非”门即支持“非”运算的逻辑电路1AB非门逻辑图表示为: A=B A  B   0  1 1  0 真值表“异或”门“异或”门即支持“异或”运算的逻辑电路⊕ABC 表示为:A⊕B=C(也可用符号) A  B  C 0  0  0 0  1  1 1  0  1 1  1  0异或门逻辑图真值表“与非”门、“或非”门算“与非”门、“或非”门算A∧B=C A∨B=C A  B  C 0   0  1 0   1  1 1   0  1 1   1  0A  B  C 0  0  1 0  1  0 1  0  0 1  1  0真值表逻辑门组合逻辑门组合&BA1非门与门DCC= A∧BD=C D=A∧B 问题: 有一个与门和一个非门,请组合出一个与非门2.三态门 2.三态门 一般逻辑门的输出只有两种状态(高、低电位) 三态门电路,也称三态缓冲器,简称三态门 它有第三种状态,高阻态(电阻很大,电路的开路状态) 相当于隔断状态 用途见下页三态门的用途 三态门的用途 外设CPUCPUEN为高电位导通的三态门 EN为低电位导通的三态门ENEN若总线上接有多个外设,只允许1个外设使用总线,每个外设通过选通信号控制与总线的连接 三态门可做控制开关,用于连接外设与总线(CPU),控制是否让信号通过 如外设没被选通,则处于高阻态,相当于未接在总线上,信号不能通过 如外设被选通,则信号能通过。若总线上只连接1个设备,则无需三态门 外设3. 译码器3. 译码器 功能表 C B A 输出端 结果 0 0 0 Y0=0 选Y0 0 0 1 Y1=0 选Y1 … 1 1 1 Y7=0 选Y774LS138译码器(用于选择输出口)G1G2AG2BCBAY0Y7 • • •应用举例(见下页):每个输出端连接一盏灯,控制灯亮和灯灭8个 输 出 端译码器应用举例译码器应用举例C B A 输出端 结果 0 0 0 Y0=0 选Y0 0 0 1 Y1=0 选Y1 … 1 1 1 Y7=0 选Y7 每个输出端连接一盏灯,控制灯亮和灯灭通过程序,控制C、B、A,以便选择输出端Y0~Y71.4 带符号二进制数的表示及运算1.4 带符号二进制数的表示及运算带符号数表示方法:原码、反码、补码 符号表示: 最高位表示符号, 0表示正,1表示负 机器数: 数值和符号在机器中的表示 真值: 机器数的数值 例 +12 = 0 0001100 (原码) 符号位 真值 - 12 = 1 0001100 (原码) 符号位 真值1.4.1 带符号二进制数的表示方法1.4.1 带符号二进制数的表示方法原码 反码 补码(微机中用补码表示符号数)下面分别介绍原码原码 规则:最高位为符号位,0表示正,1表示负,其余为真值。 例 0的8位原码(有两种表示) +0 = 0 0000000 -0 = 1 0000000 例 +12的8位原码: 0 0001100 +12的16位原码:0 000000000001100 例 -12的8位原码: 1 0001100 原码的表示范围原码的表示范围例 若用8位二进制数表示原码 最大二进制整数:01111111 +(28-1–1) 即+127 最小二进制整数:11111111 -(28-1–1) 即-127 例 若用16位二进制数表示原码 ±(216-1–1) 即-32767~+32767 例 若用n位表示原码 ±(2n-1–1)反码反码若 X>0,则 [X]反=[X]原 (即正数的反码和原码相同) 若 X<0,则 [X]反=(符号位与原码相同,数值部分按位求反)例 X=-12 [X]原= 1 0001100 [X]反= 1 1110011 例 X=+12 [X]原 = 0 0001100 [X]反 = 0 0001100 反码的表示范围反码的表示范围 ±(2n-1–1) 例 n=8 (若用8位表示反码) ±(28-1–1) 即-127~+127 例 n=16 (若用16位表示反码) ±(216-1–1) 即-32767~+32767 0的反码0的反码例 有两种表示 [+0]反= 0 0000000 [-0]反 =1 1111111 [-0]原= 1 0000000 补码补码X>0时,[X]补=[X]反=[X]原 (正数补码、原码、反码相同) X<0时,[X]补=[X]反+1 (在反码的末位加1) 例 X = -52 = -0110100 [X]原 = 10110100 [X]反 = 11001011 [X]补 = [X]反+1 = 11001100 0的补码0的补码0的补码: 只有一种表示 00000000 因为: [+0]补= [+0]原 = 0 0000000 [-0]补= [-0]反 + 1 = 11111111 + 1 = 100000000 对8位字长,进位被舍掉补码的表示范围补码的表示范围例 若用8位表示补码 -(27)~+(27–1) 即-128~+127 最大二进制整数: 01111111 +(28-1–1) 即+127 最小二进制整数: 10000000 -(28-1) 即-128 -127的原码=11111111 -127的反码=10000000 -127的补码=10000001 -127-1=10000001-1=10000000=-128 例 若用n位表示补码 -(2n-1)~+(2n-1–1) 归纳带符号数表示范围归纳带符号数表示范围原码和反码 :±(2n-1–1) 补码 : –2n-1~2n-1–1 不对称 例 n=8 (若用8位表示符号数) 原码和反码: ±(28-1–1) 即-127~+127 补码 –28-1~28-1–1 即 -128~+127 特殊数10000000特殊数10000000该数在原码中定义为:-0 在反码中定义为: -127 因为 -127的原码 = 1 1111111 -127的反码 = 1 0000000 在补码中定义为: -128 因为 -127的补码 = 1 0000001 对它做减1运算 = 1 0000001- 1 = 1 0000000 对无符号数(1 0000000)= 128练习题练习题问题:用16位表示符号数,原码、反码、补码的范围? 回答: 原码和反码范围: ±(216-1–1) 即-32767 至 +32767 补码范围: -216-1 至 216-1 –1 即-32768 至 +32767补码数转为十进制数补码数转为十进制数方法:先求出原码,再转为十进制数 1. 已知 [X]补 = 0 0100001 求 X的真值 解 符号位为0,该数为正数,数值为33, X真值=+33 2. 已知 [X]补 = 1 1111001 求 X的真值 解 符号位为1,该数为负数。 真值求法:对补码再求补码 即 X真值=[[X]补]补 =1 0000110反码 + 1 =10000111原码 =-7 为什么引进补码为什么引进补码微机中用补码表示带符号数,可把减法转变为加法 例 用加法运算实现减法运算 已知 X =+66 Y=+51 X-Y=15 用补码运算验证计算结果 解 [X-Y]补=[X]补+[-Y]补 =01000010+11001101=00001111=15 0 1 0 0 0 0 1 0 + 1 1 0 0 1 1 0 1 1 0 0 0 0 1 1 1 1结果也为15 (用补码运算)练习题练习题已知 X =+12 Y=+13 X-Y=-1 用补码运算验证计算结果 解 [X-Y]补=[X]补+[-Y]补= 00001100 + 11110011= -1 [+12]原码 = 00001100 [- 13]原码 =10001101 [-13]补码= 111100111.4.3 带符号二进制数运算的溢出问题1.4.3 带符号二进制数运算的溢出问题例 设数据宽度为8位(符号占1位,数值占7位) X=01111111 Y=00000001 问 X+Y是否溢出 1 0 0 0 0 0 0 0 + 0 0 0 0 0 0 0 1 0 1 1 1 1 1 1 1 正数相加得负数, 不合理,即溢出 X+Y计算机(用程序)判别溢出的规则计算机(用程序)判别溢出的规则两个符号二进制数相加或相减时 若最高位向前无进位(或借位),次高位向最高位有进位(或借位),则结果产生溢出。 若最高位向前有进位(或借位),次高位向最高位无进位(或借位),则结果产生溢出 即最高位的进位(或借位)次高位的进位(或借位)=1,溢出1.5 小数的表示1.5 小数的表示方法:定点法(定点小数)、浮点法(浮点小数) 定点数:小数点位置固定不变的数符号位X1X2Xn…小数点位置 数值部分(尾数),即小数点后面的数据 例 (+0.625)10 = (+0.1010000)2 表示为: 0 1010000 (假设用8位表示)符号位 小数点位置 小数点后面的数据 定点小数 定点数举例 定点数举例小数表示为: 0 1010000符号位 小数点位置 小数点后面的数据 例 (+7.625)10 = (+111.1010000)2 整数表示为: 0 0000111 (设用8位表示)浮点数(Floating Point)浮点数(Floating Point) 用阶码和尾数表示的数: 2E×M例 将二进制数1011.10101表示为规格化浮点数 要求阶码占8位、尾数占16位,阶码和尾数用补码表示 1011.10101=0. 101110101×210000000100 1011101010000000尾符 阶符 0.1≤规格化尾数<1 (对应的十进制数)阶码(决定数据大小) 尾数(决定数据精度)结 果练习题练习题求十进数0.0625的浮点数表示。 要求阶码占8位,尾数占24位,规格化尾数,格式如图。 阶码(补码) 尾数解 (0.0625)10 =(0.0001)2 = 0.1×2-3 = 0.1×2[-11]原码 0 1 1 1 1 1 1 0 1 10000000000000000000000高级语言中的浮点数高级语言中的浮点数FORTRAN、C、C++ Float(单精度4B):阶码1字节,尾数3字节 Double(双精度8B):阶码1字节,尾数7字节 阶码1字节可表示的数据范围:2-128 ~ 2127 1.6 计算机中的编码1.6 计算机中的编码BCD码 ASCII码下面分别介绍BCD码BCD码 Binary Coded Decimal: 二—十进制编码 包括:压缩BCD码、扩展BCD码。 压缩BCD码: 用4位二进制码表示1位十进制数 例 用压缩BCD码表示十进制数11.25 11.25 D =(0001 0001.0010 0101)BCD用BCD码计算用BCD码计算 0001 0001 + 0111 1000 1000 1001例 用BCD计算11+78,验证结果是否为89例 用BCD计算11+79,因为有结果大于9,用逢十进一做修正 0001 0001 + 0111 1001 1000 1010 1001 0000 大于9做进位修正后,结果为90ASCII码ASCII码American Standard Code For Information Interchange 美国信息交换标准代码 例如 字母A的ASCII 码 01000001 (65D 41H) 字母Z的ASCII 码 01011010 (90D 5AH) 例如 数字0 的ASCII 码 00110000 (48D 30H)字母和数字的ASCII 码字母和数字的ASCII 码字符 十进制 十六进制 二进制 0 48 30 00110000 … 57 39 00111000 … A 65 41 01000001 … Z 90 5A 01011010 … a 97 61 … z 122 7A常用ASCII码常用ASCII码 A – Z ASCII码:41H – 51H (65 – 90) a – z ASCII码:61H – 7AH (97 – 122) 0 – 9 ASCII码:30H – 39H (48 – 57) 问题: a 和 A 的ASCII码差值是?ASCII码的校验ASCII码的校验D7位做校验位( * ******* ) 奇校验 加上校验位后,编码中“1”的个数为奇数。 例 A的ASCII码是 41H (*1000001) 以奇校验传送为 C1H (1 1000001) 偶校验 加上校验位后,编码中“1”的个数为偶数。 上例若以偶校验传送,为41H (01000001)本章要求掌握的内容本章要求掌握的内容 常用数制及相互转换 二进制数的算术运算和逻辑运算 带符号数的表示和数据范围 什么是定点数和浮点数 字符编码(BCD、ASCII)单位换算习题单位换算习题 1B(字节)=( )bit(位) 1Word =( )B 1KB =( )B 1MB=( )KB 1GB =( )MB习题(更多习题见教材)习题(更多习题见教材)微机工作过程就是执行____的过程、即不断____、____ 和____。 十进制数12.5转换成二进制数为____ ,表示成十六进制数是____。 一位八进制数可展开为____位二进制数。一位十六进制数可展开为____位二进制数。十六进制数92AF对应的二进制数是____ 。 两个二进制数0101和1100进行与运算的结果是____ 。 若用8位二进制表示无符号数,可表示的最小二进制是____,最大二进制数是____ ,对应十进制数是____至____ 。 带符号数可用____ 、____和____表示。计算机用____表示负数。接下页习题习题正数的原码、反码和补码____,负数的原码、反码和补码____。 用8位二进制原码表示符号整数,可表示的最小二进制数是( ),最大二进制数是____,分别对应的十进制数是____和____。 十进制数-13的8位原码、反码和补码分别为____、____和____。 计算机可采用两种方法表示带小数的数,即____和____ 。 微机中普遍使用的字符编码是____。字母A的ASCII码对应的十进制数是____,对应的十六进制数是____,对应的二进制数是____。若加上奇校验,字符A和B的ASCII是____、____。接下页简答题简答题1. 写出冯·诺依曼计算机的特性。 2.计算机为什么用补码表示符号数? 3.已知[X]补=00100001B,该数是正数还是负数,真值是多少? 4.已知X=+12、Y=+13、X-Y=-1,写出8位的[X]补、[-Y]补,计算 [X]补+[-Y]补=?检验[X]补+[-Y]补=X-Y=-1。 5.假设按下面格式存储浮点数。写出二进制数+1011.10101的+2E×M形式和在计算机内的存储格式。
/
本文档为【天津大学硬件第1章】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索