为了正常的体验网站,请在浏览器设置里面开启Javascript功能!

四舍五入判别电路.doc

2018-10-01 3页 doc 31KB 104阅读

用户头像

is_212655

暂无简介

举报
四舍五入判别电路.doc四舍五入判别电路.doc .四舍五入判别电路 设计一个四舍五入判别电路,其输入为8421BCD码,要求当输大于或等于5时,判 别电路输出为1,反之为0。 参考原理图: 四舍五入判别电路 AHDL硬件描述语言输入 SUBDESIGN t3_1 ( d0,d1,d2,d3:INPUT; out: OUTPUT; ) BEGIN IF( (d3,d2,d1,d0) >= 5 ) THEN out=VCC; ELSE out=GND; END IF; END; 优先权排队电路 设计一个优先权排队...
四舍五入判别电路.doc
四舍五入判别电路.doc .四舍五入判别电路 设计一个四舍五入判别电路,其输入为8421BCD码,要求当输大于或等于5时,判 别电路输出为1,反之为0。 参考原理图: 四舍五入判别电路 AHDL硬件描述语言输入 SUBDESIGN t3_1 ( d0,d1,d2,d3:INPUT; out: OUTPUT; ) BEGIN IF( (d3,d2,d1,d0) >= 5 ) THEN out=VCC; ELSE out=GND; END IF; END; 优先权排队电路 设计一个优先权排队电路,其框图如下: 排队顺序: A=1 最高优先级 B=1 次高优先级 C=1 最低优先级 要求输出端最高只能有一端为“1”,即只能是优 先级较高的输入端所对应的输出端为“1”。 参考原理图: 优先权排队电路 AHDL文本源程序 SUBDESIGN t3_3 ( a,b,c:INPUT; a_out,b_out,c_out: OUTPUT; ) BEGIN IF a THEN a_out=VCC; b_out=GND; c_out=GND; ELSIF b THEN a_out=GND; b_out=VCC; c_out=GND; ELSIF c THEN a_out=GND; b_out=GND; c_out=VCC; ELSE a_out=GND; b_out=GND; c_out=GND; END IF; END; 要求: 1、采用原理图输入法和文本输入法编程 2、详细论述实验步骤(用截图方式) 3、要有实验波形图。 4、设计输入法的优劣心得。
/
本文档为【四舍五入判别电路.doc】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索