为了正常的体验网站,请在浏览器设置里面开启Javascript功能!

全减器(eda技术实用教程第四版)

2019-02-08 4页 doc 16KB 54阅读

用户头像

is_954223

暂无简介

举报
全减器(eda技术实用教程第四版)11电本3班  黄斯文  学号:1101902310 编译程序如下: 半减器程序如下: library ieee; use ieee.std_logic_1164.all; entity h_suber is port(a,b : in std_logic; diff,s_out : out std_logic); end entity h_suber; architecture banjian of h_suber is signal bj: std_logic_vector(1 downto 0); begin bj ...
全减器(eda技术实用教程第四版)
11电本3班  黄斯文  学号:1101902310 编译程序如下: 半减器程序如下: library ieee; use ieee.std_logic_1164.all; entity h_suber is port(a,b : in std_logic; diff,s_out : out std_logic); end entity h_suber; architecture banjian of h_suber is signal bj: std_logic_vector(1 downto 0); begin bj <= a&b; process(bj)  begin case bj is when "00" => diff<='0';s_out<='0'; when "01" => diff<='1';s_out<='1'; when "10" => diff<='1';s_out<='0'; when "11" => diff<='0';s_out<='0'; when others => null; end case; end process; end architecture banjian; 或门程序如下: library ieee; use ieee.std_logic_1164.all; entity or2a is port(a,b : in std_logic; c : out std_logic); end entity or2a; architecture one of or2a is begin c<= a or b; end architecture one; 全减器程序如下: library ieee; use ieee.std_logic_1164.all; entity f_suber is port(x,y,sub_in : in std_logic; sub_out,diffr : out std_logic); end entity f_suber;    architecture fd1 of f_suber is component h_suber port(a,b : in std_logic; diff,s_out : out std_logic); end component; component or2a port(a,b : in std_logic; c : out std_logic); end component; signal d,e,f :std_logic; begin u1 : h_suber port map(a=>x, b=>y, diff=>e, s_out=>d); u2 : h_suber port map(a=>e, b=>sub_in, diff=>diffr, s_out=>f); u3 : or2a    port map(a=>d, b=>f, c=>sub_out); end architecture fd1; 编译图形如下:
/
本文档为【全减器(eda技术实用教程第四版)】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索