为了正常的体验网站,请在浏览器设置里面开启Javascript功能!

电子电工英语词汇

2018-01-14 50页 doc 197KB 47阅读

用户头像

is_358746

暂无简介

举报
电子电工英语词汇电子电工英语词汇 电子电工英文词汇翻译a 时间:2010-06-13 09:39:57 来源:ab126 作者: abrasive abrasive cloth abrasive disc 研磨料;磨蚀剂 金刚砂布 金刚砂研磨盘 abrasive grains abrasive paper Abrupt junction 研磨粒 金刚砂纸 陡接面 AC parametric test/AC absolute gap AC mains test 绝对能隙 交流电源 AC参数试验/交流测试 AC/DC Electroni...
电子电工英语词汇
电子电工英语词汇 电子电工英文词汇翻译a 时间:2010-06-13 09:39:57 来源:ab126 作者: abrasive abrasive cloth abrasive disc 研磨料;磨蚀剂 金刚砂布 金刚砂研磨盘 abrasive grains abrasive paper Abrupt junction 研磨粒 金刚砂纸 陡接面 AC parametric test/AC absolute gap AC mains test 绝对能隙 交流电源 AC参数试验/交流测试 AC/DC Electronic ac SUPERPOSITION AC test Load 交流重迭原理 交流测试 交/直流 电子负载 AC/DC Power Supply acceleration acceleration factor 交/直流 电源供应器 加速器 加速因子 acceleration radiation acceleration tube acceleration voltage 加速辐射 加速管 加速电压 accent lighting acceptable wafer size acceptance testing 重点照明 适用晶圆尺寸 验收测试 Acceptor Access speed Access time 受体 存取速度 存取时间 accommodation accumulate/accumulation activated species 调适 累积加算 活性种 Active card Active component active damper 主动式卡 主动组件 有源阻尼器 Active load Active region actual wafer thickness 主动式负载 作用区 晶圆实际厚度 adaptive controller/automatic adaptive Z function adaptation Z轴上承载压自动调整功thickness controller 顺应 自适控制器/自动厚度控制器 能 address multiplex add/drop Multiplexer add/drop multiplexing (ADM) function 增加/删除多任务器 投落多任务 地址多任务功能 adhesion promoter coat/vapor advanced global address scramble function primer alignment 地址打散功能 黏着力促进膜涂敷/汽相底层 进步型全晶圆调准 after glow Microwave plasma after cure/post cure after-corrosion enhanced CVD system 二次熟化/二次硬化 后端腐蚀 隔离行微波等离子体增强型CVD系统 air breakdown Air Ejector air pressure type 空气绝缘破坏 抽气器 气压式 air purifier air seal type clean draft chamber air tension 空气清净机 密封式洁净通风室 空气张力 air trimmer capacitor air variable capacitor air vent 空气修整电容器 空气可变电容器 排气口 aligner for large liquid crystal display algorithm controller algorithmic pattern generator substrate 算法控制器 算法图案产生器 大型液晶显示基板对准曝 光器 alignment alignment accuracy alignment mark 定向 位置对准精确度 对准标记 alignment offset alignment scope alignment stage 对准偏移 对准用双眼显微镜 调准夹片台 alkalinity(PH4.8) alkaline cell All pass filter 碱性电池 含碱量(PH4.8) 全通滤波器 alternating-current oil allowed head load Alternating Current, AC capacitor 容许发热负载 交流电 交流油质电容器 aluminium heatsink aluminum electrolytic capacitor ambient lighting 铝制散热片 铝质电解电容器 周围照明 Ambient temperature Ammeter amorphous Si 周围温度范围 安培计 非晶性硅 amount of oxygen precipitation Ampere Amplifier 析出氧气量 安培 放大器 ampoule tube analog Analog circuits 密闭瓶管 模拟式 模拟电路 Analog IC Analog multiplication Analog signal 模拟IC 模拟乘法器 模拟信号 analog test system Analog to Digatal Converter (ADC) Analog voltage 模拟测试系统 模数转换器 模拟电压 analog-digital mixed mode Analysis analyzing chamber simulator 分析工具 分析管〈腔〉 模拟/数字混合型仿真器 angle-resolved Auger analyzing magnet AND Gate electron spectroscopy 分析磁铁 与门 角度分解奥格电子光谱学 ANI anisotropic etching anneal 自动号码辨识 各向异性蚀刻,非等向性蚀刻 退火处理 annealing Annealing annealing chamber temperature 退火热处理 退火处理室 退火处理温度 annealing uniformity Anode anode coupling 退火处理之均质性 阳极 阳极耦合 antenna ratio anti reflection coating Antialiasing filter 天线比 防反射涂膜 反假像滤波器 anylyzing magnet Aperture application box 分析磁铁 光圈 操做箱 application specific standard application specific IC(ASIC) arc chamber produce 特殊应用IC 电弧室,电弧腔 特殊应用标准产品 arc lamp annealer Area Array area defect test 弧光灯退火处理机 面矩阵式 面积缺陷试验 Arrhenius graph/Arrhenius plot artificial abrasive artwork 阿列尼厄图表 人造磨消料 工艺图 as-cut wafer ASIC ASIC micro computer 原切割晶圆 特殊应用IC 微电脑 ASIC tester ASIC verification system aspect ratio 特殊应用集成电路(ASIC)测试器 ASIC 验证系统 纵横尺寸比 assembly line asynchronous design AT speed test 组装线;装配线 异步设计 时间延迟测试 atmospheric pressure ATM atmosphere pressure method CVD system 高速分封交换网络 大气压法 大气压CVD系统 atmospheric pressure vapor atmospheric presure vapor phase atmospheric thermal phase epitaxial growth system epitaxial growth system oxidation furnace 大气压汽相磊晶生长系统 大气压汽相磊晶生长系统 大气压热氧化炉 Atomic Force atomic bonding atomic force microscope Microscope, AFM 原子键 原子间力显微镜 原子力显微镜 atomic layer epitaxial growth Atomic Number Atomic weight system 原子序 原子量 原子层磊晶生长系统 ATOMS attenuate Audio 原子 减光 音频信号 Audio amplifier audio book Audio Frequency (AF) 声频放大器 有声书 声频 Auger electron audio signal measurement Audion appearance 声频信号测试 三极管 spectroscopy 奥格电子出现电位光谱学 Auto Record Level Auger electron spectroscopy auto placement and routing Control 奥格电子光谱学 自动配置与配线 自动录音电平控制 automatic chemical autohandler automatic calibration function diluting and mixing 自动程序处理机 自动校正功能 equipment 药品自动稀释混合设备 automatic cut-off automatic damper/exhaust for automatic diameter 自动切断/截止 coater control system 自动风门/涂料器排气 自动直径控制器 automatic exhaust air automatic flatness automatic fire extinguisher mechanism controller 自动灭火机 自动排热机构 自动平面度控制器 automatic melt level control automatic pressure Automatic gain control (AGC) system contol 自动增益控制 自动融液面控制器 自动压力控制 automatic probe to pad automatic single wafer polishing automatic sizing alignment function machine device 自动探针对准衬垫功能 自动单晶圆抛光机 自动定尺寸装置 automatic temperature automatic test automatic test equipment controller pattern generation 自动测试装置 自动温度控制器 自动产生测试图案 automatic test program Automatic volume Automatic Voltage Regulator AVR generator control 交流稳压器 (SCR 电子式) 自动测试程序产生器 自动声音控制 Auxiliary Cooling automatically cutter set automatically set-up Water Pump 自动切割机设定 自动调定 辅助冷却水泵 AV Remote Auxiliary Lube Oil Pump AV Receiver Commander 辅助润滑油泵 影音接收机 影音遥控器 availability Avalanche Breakdown Avalanche Diode 利用度,利用率 累增崩溃 雪崩式二极管 average luminance, of a average luminance, of a surface Average power luminaire 表面平均亮度 平均功率 灯具平均亮度 avionics?aviation electronics 航空电子学 电子电工英文词汇翻译b 时间:2010-06-13 09:43:16 来源:ab126 作者: back EMF (electromotive back and design back annotation force) 后端设计 背面批注 反电动势;反(方)向电动势 back rack back side damage back side reference method 背后接线架 背侧损伤 背侧基准法 back surface luster backing pad backing plate 背面光泽度 衬垫 支撑板 backside rinse baffle baking temperature uniformity 背面冲洗 遮蔽体 烘烤温度均质性 baking unit oven Balance Balanced modulator 烘烤炉 平衡 平均调变器 ball bonding ball grid array ball shape 球形接合,球形压接 球状栅极数组封装体 球形,球状 ball shear strength ball shear tester ball size 球部抗切强度 球状压接端切变强度测试机 球头尺寸,球形大小 ballast band blade band gap 安定器 条带刀片 能隙 band saw Band-Pass Band-reject 条带锯 带通 带拒 Bandstop filter Bandwidth bank controller 带拒滤波器 频带宽度 触排控制器 bar graph (bargraph) Bare Chip Barkhausen crieterion 长条图;柱形图 裸晶封装 巴克豪生准则 barrel type plasma etching barrel asher Barrier system 圆筒型灰化机 障碍 圆筒型等离子体蚀刻系统 barrier potential Base BASE BIAS 障壁电压 基极 基极偏压 base line Bass Bass Reflex Enclosure 基线 低音 低音反射隔间 batch-to-batch dose batch processing batch wafer retrieval uniformity 分批处理 晶圆片回批取出 批次间之注入均质性 bath with filter for bath to bath transport time Battery circulation solution 槽间输送时间 电瓶 循环过滤洗条槽 battery backup battery load levelling beam angle 电池备用/后备 电池负载调整 光束角 beam current beam diameter beam energy 波束电流 光束直径 波束能量 beam filter beam focusing system beam positioner 波束滤波器 波束聚焦系统 光束定位器 beam positioning accuracy beam stability Beam-lead isolation 光束定位精确度 波束安定性 联机支柱隔离 behavioral schematic behavioral description language behavioral simulator editor 性能记述语言 性能仿真器 性能简图编辑器 behavioral synthesis/behavioral belljar bellows pump synthesizer 钟罩型反应器 风箱泵 性能合成/性能合成器 beltless transfer system Bernoulli chuck best fit plan reference 无带式输送系统 伯努利吸盘 最妥适平面基准 best fit plane reference bevel bevel cut 最妥适平面基准 斜角;截成斜角 斜角切割 BGA Ball Grid Array Biamping bias 球门阵列封装 双放大 偏压 bias sputtering system BiCMOS bin 偏压溅镀系统 双载子互补式金氧半导体制程 测试结果之分门类别 binary scale pattern binary decision diagram binary pulse recognition 双择判定图 二元脉冲 二值标度图案识别 binary scan binary search Bipolar 二进扫描 二次搜索 双极性 Bipolar-Junction transistor Bistable Bistable circuit (BJT) 双稳态 双稳器 双极接面晶体管 Bistable filter Bistable multivibrator Bit 双稳态滤波器 双稳态多谐振荡器 位 bit defect test bit error rate test bitmap image 点缺陷试验 位错误率试验 比点阵影像 bits per second (BPS) Biwiring BJT Bipolar Junction Transistor 每秒位数 双接线 双载子连接晶体管 blade breakage detection blade blade deflection device 刀片 刀片偏差 刀片破损检测装置 blade deflection sensor blade exposure blade height calibration 刀片挠曲敢测器 刀刃曝露量 刀片高度校准 blade retension blade tension blade tensioning frame 刀片再加张力 刀片张力 刀片张力框架 blade wear compensation block block cut 刀片摩损补偿 方块,部分组件单元 方块切割 block diagram blooming test Blow off Valve 区块图;方块图 图像浮散试验 泄放阀 blue shift BNC-Banana board insert check function 蓝位移 仪表信号转换插头 接线板插入检查功能 board level simulation board to board connector Boat 基板位阶模拟 板对板连接器 晶舟 boat elevator boat handler boat lift travel 晶舟升降机 晶舟处理机 晶舟移动行程 boat loader boat transfer Bode plot 晶舟搭载机 晶舟输送器 波德图 boding parameter body Boltzmann's Constant 焊接参数 直躯部;晶锭躯体 波兹曼常数 bond bonded abrasive bonding accuracy 黏合剂;结合剂 黏合研磨剂 焊接精确度 bonding force bonding head Bonding island 结合力,焊线强度 压接头 供接线用之转接岛 bonding length Bonding pad bonding silicon on insulator 接合长度 供接线用之转接片 wafer 硅绝缘体(SOI)接合晶圆 bonding speed bonding wire Boost converter 焊接速度,接合速度 焊接线,压接线 降压升压转换器 bottom bottom-up design boundary scan test 底部 由下而上之设计 边界绍]扫描测试 bow bowing trench bowl rinse 弯曲 沟壁内凹 碗盘冲洗 bowl temperature and humidity break detector Breakdown Voltage control 破碎探测器 崩溃电压 碗盘温度与湿度控制 Bridge Bridging Bright etching 桥式 桥接 光亮蚀刻 brightness bring etching brush scrubber 亮度 光亮蚀刻 刷子擦洗机 brushing brushing machine bubble leak tester 刷洗 刷子清除机 漏泄气泡测试器 buff Buffer building block 抛光用软皮 缓冲器 建构区块;晶胞 Built-in potential built-in self test Bulk 内建电位 内建自我测试 表体,基体 bulk defects Bump bur-in controller 表体缺陷 凸块 老化测试控制器 Buried alyer burn-in board burn-in board checker 埋层 老化测试基板 老化测试检验器 burn-in board ejector burn-in board inserter burn-in cable tray 老化测试基板拔除器 老化测试板插入器 老化测试电缆架 burn-in chamber burn-in rack burn-in stress function 老化测试恒温槽 老化测试架 老化测试应力施加功能 burn-in system burn-in timer burn-in tracking 老化测试系统 老化测试定时器 老化测试追踪 burning burr/flash/bleed buy-off 燃烧 毛头/(塑模)溢料/残渣 验收 by-pass capacitor relay driver Bypass Capacitor 旁路电容器中继驱动器 旁路电容 电子电工英文词汇翻译c 时间:2010-06-13 09:51:26 来源:ab126 作者: CAD framework initiative(CFI) Cabinet CAD navigation 计算机机柜、机箱 零件箱 发起CAD架构活动 CAD导航 calibration board camcorder camera-tool offset 校准基板 摄录像机 摄影机-压接工具轴心偏移 candle power candela, cd candle power distribution curve 新烛光 烛光功率 光度配光曲线 capacitive coupled plasma en-hanced CVD Cantilever Capacitance system 悬臂支撑架 电容 电容性耦合等离子体增强 CVD系统 capacitive sensing Capacitive Reactance Capacitive Reactance (Xc) method 容抗 电容抗 电容性传感法 Capacitor capillary drying equipment capillary tool 电容器 毛细管作用干燥设备 毛细管压接工具 capture range of pattern Carbon Composition Resistor Carbon Film Resistor recognition 固定体电阻器,碳素混合体电阻器 碳素皮膜电阻器 图案识别之捕捉范围 carbon filter/activated carbon Carbon NanoTube-Field carbon rod filter Emission Display (CNT-FED) 碳棒 活性碳过滤器 奈米碳管场发射显示器 carrier carrier box carrier gas 游星承载齿轮 运载盒 运载气体 carrier plate carrier rack carrier size 输送板 可携式老化测试接线架 承载齿轮尺寸 carrier tape carrier wave carry over 输送带 载波 挟带 cassette cleaning Cascaded Cascode equipment 串接 迭接 输送匣盒洗涤装置 cassette-to-cassette cassette deck cassette transfer handling 卡座 晶圆匣盒传送机 匣盒间转运处理 cassetteless wet cleaning cassette/magazine category equipmet 晶圆匣盒/晶圆收纳盒 范畴类别 无盒湿式洗涤装置 CCD Charge-Coupled cathode coupling cathode/target electrode Device 阴极耦合 阴极/靶电极 电荷藕合组件 CCL Copper clad laminate CCL Copper clad laminate CD uniformity 铜箔基板 铜箔基板 CD均质性 Cell cell based IC cell compiler 电池 以功能电路胞为基础之IC 功能电路胞编辑器 cellular automata (CA) Cement Type Resistor center block 细胞自动机;宫格(网格)自动机 水泥型电阻器 车尺部方块 center-tapped full-wave Center-Channel Speaker centering rectifier 中央声道扬声器 对准中心,定心 中间抽头式全波整流器 centrifugal spray central heating system centrifugal drying equipment cleaning equipment 中央暖气系统 离心干燥设备 离心喷雾洗涤装置 ceramic housing Ceramic Cassette Holder Ceramic Heater Iron 陶瓷壳 陶质卡带固定器 陶瓷烙铁 Ceramic Protector Board ceramic trimmer capacitor chamber cleaning 耐火砖、不锈钢盘 陶瓷修整电容器 反应室清除 chamfer grinding chamber controller chamber vessel machine 恒温槽控制器 小室容器 去角取面研磨机 channel channeling characterization 通道 穿隧效应/沟道效应 特征化、特性记述 Charge charge size crucible capacity charge up 电荷 最大坩埚装填容量 充电,使绝缘物带电 chelating agent added Charge-Coupled Device (CCD) chase unit cleaning chemical 电荷耦合器件 凹槽单元 添加螫合剂洗条药品 chemical beam epitaxial growth chemical cabinet chemical filter system 化学药剂储存室 化学吸附过滤器 化学分子束磊晶生长系统 chemical mechanical poslishing chemical regenertor chemical storage tank 化学机械磨 药液再生器 药液储存槽 chemical vapor deposition chemically amplified chemical treatment system resist 化学处理 化学汽相沉积系统 化学放大型抗蚀剂 chemicals feeding facilities chill plate chip alignment 药品注入设施 急冷板 芯片姿势调整 chip burn-in chip on board chip on glass 芯片老化测试 基板芯片焊接技术/COB 玻璃基板芯片焊接术/COG chip-scale atomic clocks Chip Resistor chip size package (CSAC) 片状电阻器,芯片电阻器 晶方尺寸构装 芯片级原子钟 chip/die chipping Chipset 芯片/小芯片 小碎片、碎屑状态 芯片组 Choline-based cleaning chlorination equipment chopper mechanism solution 加氯设备 断续器机构 柯林基体洗涤液 chroma signal measurement chuck cleaning mechanism circle cutting 彩色信号测试 夹盘洗条机构 圆形切割 Circuit Circuit Breaker circuit simulator 电路 断路器 电路仿真器 circular table Circulation Water Pump Clamper Bias 圆盘;旋转盘 循环水泵 定位偏压 Clampers Class A FET Output clean draft chamber 定位器 A级FET输出 洁净通风室 cleaning after CMP cleaning equipment cleaning evaluation CMP后之清洗 洗涤装置 洗条评价 cleaning tact timing clere story Client Server System 洗条流程时间 屋顶高窗采光 顾客服务器系统 Clippers clock clock tree synthesis 截波器 时钟脉冲 时钟树合成 clockwise close caption closed caption decoder 顺时针旋转 隐藏式字幕 隐藏式字幕译码器 closed-caption club shape cluster 隐藏字幕 马球球杆形 簇团 CMP Chemical Mechanical cluster tool co-simulation Polishing/Planarization 组合设备工具 协同仿真器 化学机械研磨法 coagulants feeding co-sputtering system CO2 bubbler equipment 共同溅镀系统 二氧化碳起泡器 凝聚剂注入装置 coagulo -sedimentation tank coarse grinding coater 凝结沉淀槽 粗磨 涂敷机 coaxial cylindrical plasma coaxial lighting coefficient of utilization enhanced CVD system 同照射照明 照明率 同轴圆筒型等离子体增强型CVD系统 coin mark cold testing function cold wall 硬币特征标痕 低温测试功能 冷壁 collector collet heater collet holder 集极 吸具加热器 具有吸具之保持工具 collimate sputtering color code color filter test 准直溅镀 电阻器色码表示法 彩色滤光器试验 color linearity test color rendering Colpitts oscillator 彩色再现性试验;彩色线条试验 演色性 考毕子振荡器 column alignment column control Combine Cycle 行列调准 行列控制;栏控制 复循环 Combustor comet appearance common-base (CB) 燃烧机 慧星状模样 共基极 COMMON-BASE common-collector common-base circuit CONFIGURATION configuration 共基极电路 共基极组态 共集极组态 COMMON-EMITTER Common-mode Common-Mode Gain 共模 共模增益 CONFIGURATION 共射极组态 Common-Mode Rejection Ratio compaction compactor (CMRR) 压缩 压缩器 共模拒斥比 comparator Compatible circuits Compatible processes 比较器 相容式集成电路 兼容式制造法 complex instruction set complete (or full) gap component density computer(CISC) 完全能隙 组件密度 复杂指令集计算机 Computer aid designCompound Semiconductors Compressor (CAD) 化合物半导体 空压机 计算机辅助设计 Computer aided engineeringComputer aided testing(CAT) concentration gradient (CAE) 计算机辅助测试 浓度梯度 计算机辅助工程 Concurrent design Condensate Pump Condensor 同时进行设计 冷凝水泵 冷凝器 conducting channel conducting polymer Conduction band 透明导电通路 导电聚合物 传导带 conductivity Conductor Conductors 导电性 导体 导体 constant temperature connecting wire connector thermode/constant heat ,接线 接头 tool 恒温热压接合工具 contact board contact check Contact potential 接触板 接触确认 接触电势 contact probe contact-proximity aligner contactor 接触用探针 密接-近接对准曝光器 接触器 continuous failure check continuously charged contamination level function CZ method 污染量 连续故障自我诊断功能 连续补充CZ法 contrast contrast sensitivity Control Oil Pump 对比 对比灵敏度 控制油泵 conventional molding control-air source equipment controllability die 控制空气源设备 可控制性 惯用型成型铸模 converse piezoelectric effect conversion kits coolant apparatus 逆压电效应 转换成套工具 冷却剂装置 coolant separator cooling stage cooling zone 冷郄剂分离器 冷却阶段载物台 徐缓冷却带 copy chamfering copy grinding copy master 去角取面复制 研磨复制 复制母型 core Corner frequency correlation 核心;磁心 角频率 相互关系,相关 corresponding burn-in system correlative double sampling cost of ownership of factory automation 相关双重取样法 经营成本 工厂自动化对应之老化测试系统 Coulomb Counter counterclockwise 库伦 计数器 反时针旋转 coxial magnetron sputtering Covalent bond CPU core system 共价键 CPU 核心 同轴磁控管溅镀系统 CR Carbom-Film Fixed resistor crack cradle frame 碳膜电阻器 龟裂 摇篮活动框架 crash forming cratering creep feed grinding 碰撞成形 弹坑状,陨石坑状 低速输送研磨 critical dimension loss critical path critical temperature 临界尺寸损失 关键路径 临界温度 crooked wire/wire sway cross contamination cross coupling 呈弯曲之引线/引线倾斜 交互污染 交叉偶合 cross feed device cross slide table cross talk 交叉给料装置 交叉滑动台 串音,串影 Crossover Crossover Distortion Crucible 分频器 交越失真 坩埚 crucible lift mechanism crucible lift rata crucible lift travel 坩埚升降机构 坩埚升降速率 坩埚升降行程 crucible rotation rate crucible shaft crystal 坩埚旋转速率 坩埚轴 晶体;结晶 crystal habit crystal lattice crystal lift mechanism 晶体习性 晶格;晶体点阵 拉晶升降机构 crystal originated crystal lographic axis crystal orientation particle 晶轴 晶体方位;晶体取向 结晶起因之微粒 crystal rotation rate crystal structure crystal surface 结晶旋转速率 晶体结构 结晶表面;晶面 crystal system Crystalline CSP Chip Size Package 结晶系;晶系 晶体 芯片尺寸封装 cup shaped diamond grinding cull curing oven wheel 残留树脂 硬化炉/烤箱 杯型钻石研磨机 curing time curling Current 硬化时间 卷曲/弄成卷曲 电流 current collector Current Gain Current mirror 集电体 电流增益 电流镜 current source(force)voltage Current Transfer Ratio current mode switching measurement (CTR) 电流模交换电路 施加电流只电压测试 电流转换比 current transformer (CT) Custom Edit custom IC 电流变压器;比流器 自订编辑 客户定制IC customer owned tool cut off Cut-in voltage 客户自备工具 切开;切断 切入电压 cutoff angle of a luminaire cutoff frequency Cutoff region 灯具遮光角 截频点 截止区 CW(continuous wave) laser Cycle cycle based simulator annealer 周期 周期期底仿真器 连续波激光退火处理机 cycle time cycling test Czochralski method 循环时间 温度循环试验 柴式长晶法 电子电工英文词汇翻译d 时间:2010-06-13 09:57:50 来源:ab126 作者: D-C amplifier dam bar dam block 直接耦合放大器 堵住杆,纬 水闸方块 Damascene Damper dancer roller 大马士革 风门 上下跳动滚轮 dark condition Dash etching dash's neck 黑暗条件 达斯蚀刻 达斯(缩细)颈部 data level source data logging memory data logging/data log 数据位阶电源 数据记录记忆器 数据记录/数据记录表 data memory data scramble function data separation test 数据记忆器 数据打散功能 数据分离试验 data topological function daylight Dc Current Transfer Ratio 数据拓扑功能 压板最大开度 dc 电流转换比 Dc diode sputtering system DC measurement DC parameter test system 直流二极管溅镀系统 直流测量单元 直流参数测试系统 DC parametric test/DC test dc SUPERPOSITION DC test DC参数试验/直流测试 直流重迭原理 直流测试 DCTL Direct-coupled transistor de Laval nozzle de-energize logic 拉瓦尔喷管(喷嘴) 去能;切断电源 直接耦合晶体管逻辑 dead weight type/natural de-skew Deaerator weight type 相位或时间偏差之补偿 除氧器 净重式 debugger deceleration mode dechlorination equipment 除错程序 减速模式 除氯设备 Decibel Voltage Gain decoding microchip deep level 分贝电压增益 译码微芯片 深能阶 deep level transient deep submicron design deep trench capacitor 深态次微米设计 深沟式电容 spectroscopy 深能阶瞬时光谱学 defasher deformation width of wire deformed ball diameter 塑模溢料残渣去除装置 变形线宽 变形球径 defroster degating dehydration bake 除霜器,防结冰装置 去除闸门,打开闸门 脱水烘烤 deiron demanganese deionized water cooling delay model equipment 去离子水冷却 延迟模型 除铁除锰装置 demounting Delay time Demineral Water Pump machine/demount station 延迟时间 除矿水泵 晶圆卸装机 dependence of life time on dependence of life time on denuded zone current density plot temperature plot 无缺陷领域 寿命与电流密度之依存性关系图 寿命与温度之依存关系图 Depletion Depletion Mode Depletion region 空乏式 空乏型 空乏区 deposition chamber deposition rate depressed die pad 沉积室,蒸镀室 蒸镀速率 下凹芯片焊垫 design automation design automation(DA) depth of focus conference 聚焦深度 设计自动化 自动设计学术研讨会 Design Entry design for testability design kit 设计输入 可测试性程序 设计套组 developer temperature design rule check developer control 设计法则查验 显影机 显影液温度控制 developing rate developing uniformity development environment 显影速率 显影均质性 研发环境 device device model device program 装置,组件 装置模型,组件模型 装置程序 device simulator device under test Diac 装置仿真器 被测试装置 双向触发二极管 diamond electrodeposition diamond CVD diaphram pump wire 金钢钻CVD 隔膜泵 电解金钢砂沉积线 diatomite filter dic cavity dicing 珪藻土过滤器 型腔,模槽 切割 dicing saw network dicing saw/dicer die management 切割锯刀/切割机 基片,芯片,切片 切割锯刀网络管理 die alignment die bonding die cavity 芯片调准 芯片接合,芯片焊接 芯片固定腔 die dropping rate die obnder die pad/island 芯片掉落率 芯片接合机 芯片焊垫/孤岛 die plate die set die shear strength 印刷版,铅版 铸模套组 芯片抗切强度 die shear tester die sorter die tray 芯片切变强度测试机 芯片分选机 芯片托盘 die-by-die alignment die-by-die leveling die-by-die tilting 芯片间对准 芯片间调平 芯片间倾斜转动 dielectric resonator filter dielectric resonator (DR) dielectrics (DRF) 介电共振器 介电材料 介电共振滤波器 differential DC measurement Differential input differential pressure gauge 差动直流测试 差动输入 差压计 differential-mode input Differential Transformer diffuse reflection signal 线性差动变压器 漫射反射 差讯输入信号 diffused lighting diffused wafer diffuser 漫射照明 经扩散晶圆 漫射器 diffusion diffusion charge Diffusion constant 扩散 扩散电荷 扩散常数 Diffusion current digital digital recording pen 扩散电流 数字式 数字录音笔 Digital Singular Lens Reflex digital signal processor digital still camera (DSC) (DSLR) 数字信号处理器 数字静态照相机 单眼数字相机 digital video camcorder Digital Test Meter & Probes digital voice recorder (DVC) 数字/指针式测量仪表 数字录音笔 数字摄录放影机 digital-to-analogue digital(control bonding)head dimple converter (DAC) 数字控制压接头 凹坑,表面微凹 数字至模拟转换器 diode parallel plate plasma diode isolation enhanced CVD system diode sputtering system 二极管隔离法 二极管平行板等离子增强型二极管溅系统 CVD系统 Diodes dip developer dipositronium 二极管 浸渍式显影机 双电子偶素 direct displacement type DIP Dual in -line package Direct Current, DC cleaning equipment 双排标准封装 直流电 直接置换式洗涤装置 direct glare direct index function direct pick up 直接眩光 直接索引功能 直接拾取 direct piezoelectric effect direct writing direct-current oil capacitor 正压电效应 直接描画 直流油质电容器 directional lighting disability glare Disc Ex-change Mechanism 方向性照明 减能眩光 Dolby B/C/S Dolby Digital 杜比数字 discrete component test discomfort glare Discrete Multichannel system 不舒服眩光 分离多声道 离散组件测试系统 dishing dishwasher dislocation 凹状扭曲研磨 洗碗机 位错,转换位置 Dislocation density Dislocation free crystal dispenser 位错密度 无位错结晶 配料机,点胶机 dispenser nozzle distributed processing Dolby HX Pro Dolby Pro-Logic 配料机喷嘴 分布式处理 杜比专业逻辑 dome jig Donor donor annihilation 圆顶夹具 施体 氧气施体杀手,消除氧气施体 donor killer doping doping system 氧气施体杀手,消除氧气施体 掺杂 掺杂系统 dose dot logging double crucible method 剂量 点纪录 双重坩埚法 double side polishing double side lapping machine double sided aligner machine 双面磨光机 基板上下两面对准曝光器 双面抛光机 down and up cut double telecentric lens down cutting 同方向及逆方向交互切割,反复双重远心透镜 下行切割 切割 down flow asher/down stream down set down sizing asher 下移安置 小型化 下游灰化机 down stream plasma etching Drain drain box system 吸极 废液回收箱 分离型等离子体蚀刻系统 Drain Valve Drawing chip dressing 泄水阀 绘图芯片 修整 dressing carriers /conditioning Drift current driver carriers 漂移电流 驱动器 修整/条理用承载齿轮 driver/comparator dry cleaning equipment dry developing 驱动比较器 干式洗涤设备 干式显影 Dry Etching dry etching system dry powder honing machine 干式蚀刻 干式蚀刻系统 干式溢料残渣喷砂清除机 dry vacuum pump drying equipment DTS Digital Theater System 干式真空泵 干燥设备 数字电影院系统 dual cut dual damascene dual scan 双加工切割 双道金属镶嵌法 双重扫描 dual timing generator due in-out sequence control dummy dispense 双向定时信号产生器 装载卸载最适当顺序控制 假配药 dummy wafer dust collector DUT board 仿真晶圆,虚设晶圆 集尘器 被测试基板 DUT ground relay DUT interface DUT power supply 被测试装置接地线切断继电器 被测试装置接口 被测试装置电源 Duty cycle DVD dynamic range dynamic burn-in system 工作周期 DVD 动态范围 动态老化测试系统 dynamic clamp dynamic functional test dynamic load 动态钳位(制) 动态功能试验 动态负载 dynamic pattern tracer dynamic power current measurement 动态图案追踪除错程序 动态电源电流测试 电子电工英文词汇翻译e 时间:2010-06-13 10:02:45 来源:ab126 作者: e-beam lithography e-beam photolithography e-beam writer 电子束微影术 电子束显影法 电子束曝光机 e-gun Early voltage earth bar/grounding bar 电子束蒸镀机 欧箂电压 接地棒 EDA Electronic Design Economizer eddy current method Automation 省煤器 涡电流法 电子设计自动化 edge bead removal /E.B.R edge exclusion edge polisher 边缘球状物去除 周边除外范围 边缘抛光机 edge sensor editing room EDS test 边缘传感器 剪接室 EDS测试 effective value efficacy efficiency 有效值 效力 效率 Efficiency of rectification efficiency of target utilization ejecter 整流效率 靶子利用效率 拆卸器 electret condenser elastic emission machining Electric Field microphone 弹性碰撞机械加工 电场 .驻集式电容麦克风 electric flame off electric-discharge lamp electrical rule check 电火炬 放电灯 电器法则查验 Electricity electro-luminescence method electro-migration check 电能 场致发光法 电性选移查检 electro-migration test electroformed diamond blade electrolysis treatment 电性迁移试验 电铸钻石刀片 电解处理 electrolytic discharge aided electrolytic ionized water electrolytic deflash grinding machine /electrolysis-ionized water 电解树脂残渣去除 电解放电辅助研磨机 电解电离水 Electromagnetic Compatibility electromagnetic buzzer electromagnetic conduction (EMC) 电磁式蜂鸣器 电磁传导 电磁相容(性) electromagnetic electromagnetic lock electromagnetic shock wave interference 电磁锁 电磁震波 电磁干扰 electromechanical Electromigration electromotive force (EMF) interfacing 电致迁移 电动势 电机界面 electron beam annealer electron beam cell mask electron beam control 电子波束退火处理机红 电子束功能电路胞光罩 电子束控制 electron beam evaporation electron beam exposure electron beam prober system system 电子波束探针 电子束蒸镀系统 电子波束曝光系统 Electron Coupling Resonance Electron Coupling electron beam test system (ECR)plasma enhanced CVD Resonance(ECR)sputtering 电子波束测试系统 system system ECR等离子体增强型CVD系统 电子耦合谐振溅镀系统 electron cyclotron electron cyclotron resonance electron flood gun resonance etching system 淹没式电子,电子流 电子回旋加速器共振 电子回旋加速器共振蚀刻系统 electron mobility electron probe micro analysis electron shading effect 电子移动率 电子探针微分析 电子遮掩效应 electron suppressor electron-hole pair Electronic Commerce 电子抑制器 电子-电洞对 电子商务 electronic design electronic design interchange electronic Peeping Tom automation(EDA) format(EDIF) 电子仪器狂 电子设计自动化 电子电路设计互换格式 electronic punch card electronic system design electronic thermometer system automation tool 电子体温计 电子刷卡系统 电子系统自动设计工具 electrons electropotential electrostatic chuck 电子 电位 静电夹头,静电夹盘 electrostatic discharge electrostatic scan elevator unit protection 静电扫描 升降机单元 静电放电保护 Embedded array embeded array embeded system 埋入型数组,嵌入式数组 埋入行数组 埋入型系统 emissivity correction Emitter EMITTER BIAS 发射率校正 射极 射极偏压 Emulator Encoder end chipping 仿真器 编码器 最终结晶屑 end-sealing compound end-station endless band saw 封口化合物 终端站 环型条带锯 Energy band energy band gap energy band gap difference 能带 能隙;能量带间隙 能隙差 energy contamination energy gap Energy level 能量污染,杂质能量 能隙 能阶 engineering work stationengineering change order English (EMS) 工程变更次序 中文 工程工作站 enhanced global alignment Enhancement Enhancement Mode 增强型全晶圆调准 增强式 增强型 environmental scanning entry and exit delay epitaxial growth electron microscope 进入及离开时延迟 晶膜成长 环境控制扫描型电子显微镜 epitaxial growth system epitaxial wafer Epitaxial wafers 磊晶生长系统 磊晶晶圆 磊晶圆 equilibrium segregation Equalizer equivalent fault coefficient 均衡器 等效故障 平衡偏析系数 Equivalent Impedance Equivalent Voltage (Vth) erase error (Zth) 等效电压 删除错误 等效阻抗 erase error allowance erase fail estimated wire length 删除错误容限 删除失误 估计布线长度 etch back etch pit density etch rate 回蚀 腐蚀坑密度 蚀刻速率 etch residue etch selectivity raito etch uniformity 蚀刻残余物 蚀刻选择比,蚀刻选择性 蚀刻均质性 etched wafer Etching etching chamber 经蚀刻晶圆 蚀刻 蚀刻处理室 etching end point detection etching system etching wafer 蚀刻终点检测 蚀刻系统 刚蚀刻晶圆 eutectic bonding Eutectic Solder Bump evacuated wet etching system 共晶接合 共晶锡铅凸块 减压抽气浸渍式蚀刻系统 Evaporation evaporation material evaporation source 蒸镀 蒸发材料 蒸发源 ExB magnetron sputtering Evaporator event driven simulator system 蒸发器 事件驱动仿真器 直交电磁场型溅镀系统 excimer laser stepper excitation Exciter 准分子激光步进机 激厉 励磁机 excluding backside exclusing backside deposition exhaust for developer deposition 防止背面沉积 显影剂排放 防止背面沉积 expand stage expansion expansion ratio 黏胶片扩展夹片台 展开 晶圆黏胶片扩展率 expectation value pattern expected pattern exposed area ratio 期待值图案 预期图案 蚀刻面积率,曝光面积率 exposure extension board external gettering 曝光 延伸接线板;扩张接线板 外部吸器 external torch unit extraction electrodes extraction voltage 外界火炬装置 提取电极 提取电压 extreme ultraviolet Extreme value extrinsic gettering 极紫外光 极值 非本征吸器 Extrinsic Semiconductor eye diagram mask test eye pattern 非本征半导体 眼圈掩模试验 眼界 电子电工英文词汇翻译f 时间:2010-06-13 10:17:04 来源:ab126 作者: face down bonding/face face-centered cubic (fcc) facet bonding 面心立方 小平面 面朝下接合 facing target fail bit map fail mark sputtering(FTS)system 错误位图表 不良记号 相面对靶子溅镀系统 fail-make inspection function failure analysis memory false path problem 不良标记检查功能 故障解析记忆器 错误路径问题 family box family head Fan-in 族系箱 族系原头 扇入 Fan-out Farad faraday system 扇出 法拉 法拉第系统 fast traverse fault fault analysis 快速通过速度 故障 故障分析 fault circuit fault coverage fault dictionary 故障电路 故障概括率 故障辞典 fault simulator FAX FDE 故障仿真器 传真机 奈米碳 Feed-Forward Compensation FEEDBACK Feedback systems 前向补偿 回授 响应系统 fenestration ferroelectric thin film ferromagnetic semiconductor 开窗式 铁电薄膜强诱电体薄膜 磁性半导体 FET Field-EffectTransistor fiducial mark field angle 场效晶体管 基准标记 张角或光束角 filed programmable gate filed programmable Field-effect transistor (FET) array interconnect 场效晶体管 现场可程序闸门数组 现场可程序互接 fillet film footage Filter 接合剂渗出痕迹,接合轮廓 电影片段 滤网 filtration equipment with final energy magnet final polishing coagulation 终极能量磁铁 最后加工抛光仕上研磨 凝聚过滤设备 final test final wafer test fine alignment 最后测试 晶圆后端测试 精细对准 fine grinding fine pitch fine powder 细磨 精细节距 超微粒 FinFET first bonding first dislocation generation 鳍式晶体管 第一接合,第一压接 初次发生位错 five points thickness variation Fixed interconnect wiring fixed resistor ,点厚度变动 固定式互联机法 固定电阻 fixture fixture board Fizeau interferometer method 灯具 夹具基板 菲氏干涉计法 flash memory E/W cycle test flame sensor flash lamp annealer system 火焰传感器 闪光灯退火处理机 闪存删除写入周期测试系统 flat collet flat zone length flatness 平面吸具 热平坦区长度 平面度 flexible manufacturing flatness quality area Flip Chip system 平面度适用区域 覆晶 弹性制造系统 flip chip bonder flip chip bonding Flip-chip mounting 倒装片接合机 侧装片接合 覆晶法 Float polishing floatation equipment floating license 浮动抛光 漂浮分离设备 浮动许可证 floating zone melting method floodlight floor planner 浮动区熔法 泛光灯 平面规划器 flow pattern defect fluorescent lamp fluoroscope 流体图案缺陷 日光灯 ,射线荧光屏 flush mounted or recessed flux focal plane luminaire 光通量 焦点平面 平壁式或隐藏式灯具 focal plane deviation focus coil Follower 焦点平面偏差 焦距线圈 随耦器 foot candle, fc foot print Forbidden band 呎烛光 脚印 禁带 force fill process form chamfering formal verification 加压填埋空隙 整形去角取面 正式验证 formatter forming gas forward annotation 格式编制器 组成气体 正向批注 Forward Bias Forward Transconductance Forward-Breakover Voltage 顺向偏压 互导 顺向转态电压 fouling index(FI) Foucault current method four(point)probe method 傅科电流法 污浊指标(FI) 四(点)探针法 Fourier transform infrared four-way type Fourth Nuclear Power Plant spectroscopy 四方向方式 核四电厂 傅立叶转换红外光谱学 FPGA Field Programmable four(point)probe method FPC connector Gate Array 四(点)探针法 软排线连接器 现场可程序化门阵列 frame memory framework freezers 视帧记忆器;图框记忆器 架构 冷冻库 Frequency Frequency modulation (FM) Frequency spectrum 频率 调频 频谱 frog ring front end design front side reference method 辙叉环 前端设计 前侧基准法 full automatic growing full cutting Full Load furnace 全切割 满载 全自动成长炉 full site full-slice technology full-wave bridge rectifier 全体区分地段 全块技术 桥式全波整流器 full-wave rectifier function generator function test 全波整流器 函数产生器;信号产生器 功能测试 functional description functional schematic editor furnace angle language 功能检图编辑器 炉体倾斜角 功能记述语言 furnace tube cleaning furnace annealer furnace lift travel equipment 电热炉退火处理机 炉移动行程 炉心管洗涤设备 Fuse fuse data fuse link 保险丝 熔丝资料 链丝熔丝 fuzzy controller Fuzzy theory 模糊控制器 模糊理论 电子电工英文词汇翻译g 时间:2010-06-13 10:21:44 来源:ab126 作者: g-line stepper GaAs GaAs Gallium arsenide g线步进机 砷化钾 砷化镓 Gain Stability Galvanometer gang bonding 增益稳定性 电流计 多端子接合 gas box gas feed system Gas Irons 瓦斯筒收纳箱 瓦斯输送系统 瓦斯烙铁 gas source molecular beam epitaxial Gas Turbine Gate growth system 气涡轮机 闸极 瓦斯源分子束磊晶生长系统 gate cutting Gate Array gate insert piece 切断成型铸模树鲁流导闸闸门数组 插入闸门之分割用隔片 门之残留树脂 gate level description gate value Ge Germanium 闸门位阶记述 栅型阀 锗 general lighting general planarization Generator 全般照明 全面平坦化 发电机 generator set Gettering Giga Scale Integration (GSI) 发电机组 吸气,除气 十亿集积度级集成电路 gigahertz glare glass envelope 十亿赫兹;千兆赫 眩光 玻璃外壳;玻璃管 glass frit sealing equipment glitch global 玻璃料密封装置 非预期脉冲(或障碍) 总体 global backside indeal global alignment global backside variation range 全晶圆对准 总体背面理想范围 总体背面理想范围 global flatness global leveling global tilting 总体平面度 全晶圆调平 全晶圆倾斜转动 GO/NO-GO test Gold Bump grade 性能良?判定试验 金凸块 黏合度 grading incidence Graded junction gradient freeze method interferometer method 渐变式接合 凝固温度梯度法 倾斜入射干涉计法 Grain Grain Boundary grain grit 结晶 晶粒边界 粗粒;磨粒粒度 grain of maximum size grain percentage grain size 最大魔粒 魔粒率;磨料百分比 魔粒大小 granular activated carbon Graphic Equalizer graphite heater annealer 颗粒状活性碳 均衡器 石磨加热器退火处理机 gray scale pattern gravity filter gray-level mask recognition 重力过滤器 灰阶光罩 灰色标度器案识别 grazing Grey theory grinding 衬垫表面剥落 灰色理论 Elid研磨 grinding with electrolytic in grinding machine grinding wheel process dressing 研磨机 研磨轮 电解研磨修整 grinding with electrolytic inprocess grits grooved roller dressing 粒度 凹沟滚轮 电解研磨修整 ground ground etctrode ground wave 地线 接地电极 地波 group delay grounded-base circuit growing crystal diameter measurement 基极接地电路 生长中结晶直径 群时间延迟测量 GSM:Global System for growth cone growth rate Mobile Communication 生长锥 生长速率 泛欧数字式行动电话系统 guide pulley guide roller 导线滑轮 导线滚轮 电子电工英文词汇翻译h 时间:2010-06-13 10:26:07 来源:ab126 作者: half cutting Half-Power Points half-wave rectifier 半厚度切割 半功率点 半波整流器 Hall effect Hall-effect halogen lamp annealer 霍尔效应 霍耳效应 卤素灯退火处理机 hand core/soft core Hand Tools handler 硬件核心/软件核心 手工具 程序处理机 Hannstar Display Corp hard macro cell hard-bake 瀚宇彩晶 硬性宏功能电路胞 硬性烘烤处理 hardware/software hardware description language Hartley oscillator co-design 硬件记述语言 哈特莱振荡器 硬件/软件协同设计 HDI High Density haze HBT Interconnection 雾状 异质接面双极晶体管 高密度连接板 heat and corrosion HDI High Density Interconnection head resistance 高密度连接板 头部 耐热性与耐腐蚀性 heat block heat insulation plate heat of fusion 加热组合单元 隔热板 熔解热 heat oven heat plate Heat sink 干燥炉 加热板 散热片 Heat treatment furance heat treatment furnace heat up stage 热处理炉 热处理炉 加热夹片台 heated capillary shank heated wedge shank heatsink 毛细管压接加热柄 楔型压接加热柄 散热片 height of energy barrier helical etching system helicon etching system 能量障碍高度 螺旋型蚀刻系统 螺旋波蚀刻系统 helium leak detector Henry hermetic seal 氦漏气检测器 亨利 密封 Hertz hertz (HZ) Hewlett-Packard Hz,赫兹 赫兹 惠普 hexode type reactive ion etching HF vapor cleaning HF added pure water system equipment 添加氢氟酸纯水 六角柱型反应性离子蚀刻系统 氢氟酸蒸汽洗条设备 hi-tech (= high hi-fis hidden camera technology) 高传真音响 隐藏式摄影机 高科技 high density plasma hierarchical design high current ion implanter enhanced CVD 阶层式设计 大电流离子值入机 高密度等离子体增强型CVD high frequency arbitrary high frequency test head high energy ion implanter wave generator interface 高能量离子值入机 高频任意波形产生器 高频测试头接口 high impeadance high intensity dischare high frequency ultrasonic bonding detection function lamp 高频超音波接合 高组抗检测功能 高光度放电管灯 high level description High Lead Solder Bump high level synthesis language 高铅锡铅凸块 高阶合成器 高阶记述语言 High Precision Digital high pressure Czochralski High Pass Filter Servo method 高通滤波器 高精度数字伺服 高压CZ法 high pressure high pressure jet spray high pressure method sodium(HPS)lamp 高压喷射喷雾机 高压法 高压钠气灯 high pressure thermal oxidation high speed circuit high speed buffer memory furnace technology design 高数缓冲记忆器 高压热氧化炉 高速电路工程设计 high voltage electron high speed digitizer high vacuum method micro-scopy 高数数字转换器 高真空法 高压电子显微镜学 high wind velocity burn-in high voltage electron microscopy high voltage terminal system 高压电子显微镜学 高压端子 高风速老化测试系统 high-resolution high-frequency induction heating High-Frequency Response electron-energy-loss method 高频响应 spectroscopy (HREELS) 高频感应加热法 高分辨率电子能量损失能谱仪 high-resolution transmission histogram memory hit rate electro microscopy 条带图记忆器 击中率 高解像度穿透电子显微镜 horizontal Bridgman Hole Homojunction method 电洞 同质接合 水平型布里志曼法 hot acid circulation and hot air(gas) drying horizontal loader filtration system equipment 树脂接合 高温酸液循环过滤系统 热空气干燥设备 hot and cold testing function hot chuck hot plate oven 高低温测试功能 高温夹具 热平板烘烤炉 hot testing function hot wall hot zone parts 高温测试功能 热壁 加热区域组件 hot-carrier test HP/LP Circulation Pump HP/LP Drum 热载子试验 高压/低压循环泵 高压低压汽水鼓 HRSG(Heat Recovery HP/LP Feedwater Pump hub blade Steam Generator) 高压/低压饲水泵 毂状磨石刀片 热回收锅炉 hubless blade Hybrid circuit hybrid fiber coaxial (HFC) 无中枢刀片 复基电路 光纤同轴混合 hybrid pulse digital-to-analogue hybrid scan hydraulic blade tensioning converter 混合式扫描 油压式张力刀片 混合脉冲数字至模拟转换器 hydrofluoric acid hydrogen hydroelectric power (HEP) peroxide mixture cleaning hydrogen gas burning 水力发电 氢气燃烧 solution 氢氟酸过氧化氢混合洗涤液 hydrogen oxygen fuel cell hydrogen termination hydropgen annealed wafer 氢氧燃料电池 氢终结化 经氢气退火之晶圆 电子电工英文词汇翻译i 时间:2010-06-13 10:31:35 来源:ab126 作者: i-line stepper i-type semiconductor I/O Connector i线步进机 ,型半导体 输出入连接器 I/O switching transition I/Q phase error measurement IA Information Appliance I/O 接口转换时间 I/Q 相位误差测试 信息家电 IC chip ATM card IC, integrated circuit ice cleaning equipment IC 芯片自动提款卡 集成电路 冰粒洗涤机 ice jet cleaning equipment ID mark IDD quiescent test 冰粒喷射涤装置 辨识标记 等待电流静态测试 IEEE Computer Society Ideality factor illuminance 国际电机电子工程师学会计算器理想因子 照度 分会 illuminance (lux or foot illumination intensity at illumination candle)meter image plane 照明 照度计 影像面照度强度 illumination system illumination uniformity error image data memory 照明系统 照度均一误差 影像数据记忆器 image data processing image data processor image field 影像数据处理 影像数据处理器 影像图场 immersion wet etching image processor unit Impedance system 影像处理器单元 阻抗 浸渍式蚀刻系统 impedance coil implant chamber impurity level 阻抗线圈,电机工程名词, 离子植入式 杂质能阶 impurity trap in circuit emulater in-situ 杂质陷阱 插入电路仿真版 就地,在现场,自然(环境) incandescent filament lamp index amount Index of refraction 白炽灯 指数量 折射率 index time indexer indexing 索引时间 指针器,索引器 指数标定;转位 induction heating evaporation individual wafer retrieval inductive charger system 晶圆片个别取出 感应充电器 感应加热蒸镀系统 inductive coupled plasma inductively coupled plasma enhanced CVD system Inductor etching system 电感性耦合等离子体增强型CVD系电感 感应耦合型等离子体蚀刻系统 统 infrared absorption infeed grinding information transfer spectroscopy 输送中研磨 资料移转;信息转移 红外线吸收光谱学 infrared annealer infrared ear thermometer infrared heating method 外线退火处理机 红外线耳温 红外线聚集加热法 infrared laser scattering Ingot ingot cutting face bow tomograph 晶锭 晶锭切断面弯曲 红外光激光散射断层X光摄影装置 ingot end face measuring Ingot end face bow measuring injection pressure system 晶锭端面弯曲测试系统 注入压力 晶锭端面弯曲测式系统 injection speed injector ink jet printer 注入速度 注入器 喷墨式印刷机 inker inline heater inline system 印字机 管在线加热器 在线系统,插列系统 inner diameter saw with inner diameter blade inner diameter saw built-in grinder 内径刀片 内径锯子 内径锯子研磨机 inner lead inner lead bonder inner lead bonding 内部引线 内部引线接合机 内部引线接合 input Input Impedance input pattern stimulus 输入图案:预期图案 输入阻抗 输入图案信号数据群 input/output buffer input slew rate insert ring information 输入变化率 插入环 出入/输出缓冲器信息规格 inspection of dust particle inserter remover inside heating method on wafer 插入拔除机 内部加热法 晶圆表面灰尘检查 instrumentation rack Insulator Insulators 仪器架 绝缘体 绝缘体 Integrated circuit (IC) Integrated Circuits integrated optical circuits 集成电路 集成电路 IC 积体光路 intellectual property Intellectual Property (IP) intensity 智慧财产 功能组件组块 光强度 interface between solid and intensity controlled ectrode inter leaf loader melt 强度控制电极 隔片装载机 固液体界面 intermodulation interface unit internal die pressure measurement 接口单元 模内压力 互相调变测试 internal gear internal gettering internal torch unit 内部齿轮 内部吸器 内部火炬装置 interstitial oxygen Intrinsic carrier concentration intrinsic gettering 晶格间氧气,格隙氧气 本质载子浓度 本征吸器 Intrinsic semiconductor inverse-square law Inversion layer 本质半导体 反平方定律 反转层 inverted pyramidal collet ion beam ion beam etching system 颠倒金字塔型吸具 离子束 离子束蚀刻系统 ion beam lithography ion beam sputtering system ion current 离子束微影术 离子束溅镀系统 离子电流 ion energy ion exchange membrane Ion Implantation 离子能量 离子交换膜 离子植入法 ion micro probe(mass)ion micro ion implanter probe(mass)analysis method analysis method 离子注入机 离子微探针质谱仪分析法 离子微探针质谱仪分析法 ion milling system ion plating system ion source 离子铣削系统 离子喷镀系统 离子源 ion source magnet Ionic bond Ionization 离子源磁铁 离子键 离子化 ionized cluster beam IPA vapor drying IR drop evaporation system 异丙醇(IPA)蒸汽干燥 IR 电压降 成团离子线束蒸镀系统 IR oven Isolation diffusion isolux(isofootcandle)line 红外线烘烤炉 隔离扩散法 等照度线 isotropic etching Iteration Method ITO (indium tin oxide) 各向同性蚀刻,等向性蚀刻 迭代法 导电透光膜氧化铟锡 IVR Interactive Voice Response 技键式技术 电子电工英文词汇翻译j 时间:2010-06-13 10:36:49 来源:ab126 作者: jacket Jacking Oil Pump jamming 外壳 顶油泵 接收干扰 jamming rate joint test action group Josephson junction 干扰率 联合测试推动团体 约瑟夫森结 jump function Junction Junction Field-effect transistor (JFET) 跳越功能 接合面 接面场效晶体管 just etching 适量蚀刻 电子电工英文词汇翻译k 时间:2010-06-13 10:41:11 来源:ab126 作者: KCL (kirchhoff's current Kelvin contact kerf inspection law) 开耳芬接点 劈痕检查 克希荷夫电流定律 kerf loss kerf thickness kilohm 截口损失 刀刃(截口)厚度 千奥姆 Kilowatt-Hour kinematic coupling known good die 仟瓦小时 运动学上之耦合 已知合格之芯片 Knudsen cell KVL (kirchhoff's voltage law) 克努森容器 克希荷夫电压定律 电子电工英文词汇翻译l 时间:2010-06-13 10:45:26 来源:ab126 作者: lamp lumen depreciation factor,LLD lamp lamp arrangement 电灯 灯泡排列 灯管流明降落系数 lamp power control lamp shielding angle lapped wafer 照射灯功率控制 电灯遮光角 经磨光晶圆 Lapping lapping wafer laser ablation 研磨,磨光 刚磨光晶圆 激光烧蚀 laser assisted CVD laser annealer laser beam prober system 激光退火处理机 激光束探测器 激光辅助型CVD系统 laser beam welding laser beam test system laser bonding equipment 激光束测试系统 激光接合 激光束焊接装置 laser cavity laser doping system laser homogenizer 苗射谐振腔 激光掺杂系统 激光波束均匀化机 laser mark laser marker laser microscope 激光标记 激光标印机 激光显微镜 laser output stability laser power laser processing system 激光输出稳定度 激光功率 激光处理系统 laser scattering tomograph laser surface scanner latch-up defect 晶圆表面激光扫描仪 闭锁 (锁存;闩锁;锁上) 激光散射X光断层摄影缺陷 lattice constant lattice parameter Layout 晶格常数 晶格参数 布局 layout driven synthesis layout editor layout parameter extraction 布置驱动合成 配置编辑器 配置参数萃取 layout-circuit layout versus schematic lead acid battery comparison 配置、检图对照 铅酸电池 配置电路比较 lead cutting/lead lead coplanarity lead forming trimming 脚端同平面性 脚端整形,脚端弯曲 脚端切断/脚端修剪 lead frame lead frame loader lead locater 引导框架,花架 引导框架装载机 引线定位器 lead on chip Lead time lead-acid cell 脚端由芯片引线端焊接之封装前置时间 酸性电池 体 leaf type contract leak test length of fresh wire feeding 薄板型接触 漏气试验 新线馈线长度 lens lens projection aligner license 透镜 透镜投影对准曝光器 许可证、执照 lifetime measurement life time light system 寿命 光 (载子)寿命测试系统 light figure method light integrator light loss factor, LLF 光像法 光线积分器 光损失系数 light scattering light scattering measurement system light scattering method measurement system 光散射法 (LSM) 光散射测量系统 光散射测量系统 light-dependent resistor Light-Emitting Diode limbo (LDR) (LED) 备用行与被用列之焦点 光敏电阻器 发光二极管 line and space/L&S line defect line defect test 线与间隙/L&S 线缺陷 线缺陷试验 line width accuracy line-of-sight (LOS) line-of-sight transmission 线宽精确度 视线 视线传输 lineage defect Linear Operation linear test system 系属缺陷,系谱缺陷 线性放大 线性测试系统 linear tube linearity link cut data 线性温度加热管,均热管 线性 链环切断资料 link to layout liquid burn-in system liquid encapsulation Czochralski 连接布置 液体老化测试系统 method 液体密封切克劳斯基法 liquid phase epitaxial liquid source delivery liquid spill sensor growth system system 液体溢流传感器 液相磊晶生长系统 液体源输送系统 lithium manganese lithium ion battery lithography battery 锂离子电池 微影术,石版印刷术 锂锰电池 Load load board load circuit 负载 搭载基板 负载电路 load power supply selective Load Regulation load-lock chamber relay 负载调整 加载互锁真空室 负载电源选择继电器 loader loading effect Loading Effects 装载机、装料机 搭载效应 负载效应 loading frame local electric field effect local lighting 装载架 局部电场效应 局部照明 local memory local thickness variation localized general lighting 局部记忆器 局部厚度变动 局部全般照明 location pin log-normal graph log-normal plot 定位插栓 对数正规图表 对数正规图表 logic memory mixed logging memory logic probe design 记录记忆器 逻辑探针;逻辑探测棒 逻辑记忆混合设计 logic synthesis/logic logic simulator logic test system synthesizer 逻辑仿真器 逻辑测试系统 逻辑合成/逻辑合成器 logic tester logical conversion long throw sputtering method 逻辑测试器 逻辑变换 长抛溅射法 loop loop control loop gain 环路 环路控制 回路增益 loop height loop shape loose abrasive 环路高度 环路形状 松弛研磨料 Loss factor lotus effect loud speaker 耗损因子 莲花效应 扬声器 louver louver shielding angle louvered ceiling 遮板 遮板遮光角 方格天花板 low energy electron diffraction low current ion implanter Low Cut Filter method 小电流离子注入机 低频衰减滤波器 低能电子绕射法 low energy ion implanter Low Pass Filter Low power design 低能量离子注入机 低通滤波器 低消费电力化设计,耗电设计 low pressure vapor low presure vapor phase epitaxial low pressure CVD system phase epitaxial growth growth system 低压CVD系统 低压汽相磊晶生长系统 system 低压汽相磊晶生长系统 low temperature etching low-frequency Low-Frequency Response 低温蚀刻 低频率的; 长波的 低频响应 lower surface grinding lower lapping plate Lower triggerpoint (LTP) width 下盘磨盘/下定盘 下激发点 下侧平面研磨宽度 LTCC Low-Temperature LPE Liquid phase epitaxy lumen Cofired Ceramics 液态磊晶技术 流明 低温共烧多层陶瓷 luminaire dirt depreciation factor, lumen(or flux)method luminaire LDD 流明计算法 灯具 灯具尘埃减能系数 luminaire efficiency luminance contrast luminance difference 灯具效率 辉度对比 辉度差 luminance efficacy of a luminance ratio luminance(photometric brightness) source of light 辉度比 辉亮度 光源辉度效力 luminous ceiling luminous flux luminous intensity 流明天花板 光通量,光束 光度 lux 勒克司 电子电工英文词汇翻译m 时间:2010-06-13 10:50:33 来源:ab126 作者: macromolecular M-alkalinity magazine nanotechnology M碱度 接合机收纳盒,弹匣 高分子微科技 magazine stacker magic mirror method Magnetic Circuit 接合机收纳盒堆栈机 魔镜法 磁路 magnetic field applied Czochralski magnetic coupled feedthrough Magnetic Field crystal growth 磁耦合旋转馈通 磁场 method 施加磁场切克劳斯基 结晶成长法 magnetic Magnetic Flux magnetic Flux Density levitation transfer 磁通线 磁通密度 磁悬浮输送 magnetic resonance imaging magnetic strip magnetic neutral loop discharge (MRI) ATM card 磁中性环路放电 磁振造影 磁条自动提款卡 magneto-microwave plasma etching magnetron magnetron system discharge 磁控管 磁场微波型等离子体蚀刻系统 磁控管放电 magnification correction system magnetron enhanced reactive ion magnetron sputtering system for atmospheric etaching system 磁控管溅镀系统 pressure 磁控管增强型反应性离子蚀刻系统 大气压对应投影透镜 放大率校正系统 Main Lube Oil main discharge Main Fuel Oil Pump Pump 主放电 主燃油棒泵 主润滑油泵 main power switch main roller mains 总电源开关 主滚轮 输电干线 maintenance factor, MF Majority carrier Majority carriers 维护系数 多数载子 多数载子 Make Up Pump man machine interface manipulator 补充泵 人机界面 操纵器,键控器 manual station Marangoni drying marker 手动测试站 马兰葛尼干燥 标印器 marking marking accuracy marking area 加标记,打标印 标印精确度 标印面积 marking ink marking machine marking optics 标印油墨 标印机器 标印光定系 marking speed marking strength marking visibility 标记速度 标印附着强度 标记可见性 mask loader/mask Mask mask holder changer 光罩 遮光罩固定器 遮光罩搭载器/遮光 罩更换器 mask stage Masked diffusion masking blade 遮光罩载物台 光罩扩散法 遮光板,掩蔽片 mass analyzing capability mass analyzing system mass resolution 质量分析能力 质量分析系统 质量分解力 matching box/matching mastering machine matching unit network 母带机 匹配单元 匹配箱/匹配网络 material lift travel material safety data sheet matrix frame 材料升降行程 材料安全数据清单 矩阵式引线框架 matrix probing matte surface mean diameter 矩阵检测 无泽面 平均直径 measurement method of interstitial measurement method of measurement oxygen content in silicon wafer by interstitial oxygen content in method of infrared a silicon wafer by infrared a interstitial oxygen 以p偏布儒斯特角入射,靠红外光吸收光谱学以P偏光布儒斯特角入射,靠红外content in silicon 之硅晶圆晶格间隙氧气浓度测试法 光吸收光谱学之硅晶圆晶格间隙wafer by infrared 氧气浓度测试法 a 以P偏光布儒斯特角 入射,靠红外光吸收 光谱学之硅晶圆晶格 间隙氧气浓度测试法 mechanical blade tensioning mechanical chuck mechanical scan 机械式张力刀片 机械式夹头 机械式扫描 medium energy mechano chemical polishing medium current ion implanter ion implanter 机械化学抛光加工 中电流离子注入机 中能量离子注入机 megasonic cleaning medium surface mega cell equipment 中间面 超大型功能电路胞 MHz超音波洗条设 备 melanopsin melt melting point 黑视素 融解 融点 membrane probe card Memory memory exerciser 薄膜探测卡 内存 内存测试程序 memory under Memory Module memory test pattern test 内存模块 内存测试图案 被测试内存 metal mercury lamp metal bonded grinding wheel contamination 水银灯 金属结合研磨轮 level 金属污染等级 Metal Film metal CVD method metal detector Resistor 金属CVD法 金属探测器 金属皮膜电阻器 metal organic molecular beam metal halide lamp metal organic CVD system epitaxial growth 卤化金属灯,复金属灯 有机金属CVD系统 system 有机金属分子束磊晶 生长系统 metal organic vapor phase epitaxial MF Metal-Film metallization growth system /MOVPE system Fixed resistor 金属化法 有机金属汽相磊晶生长系统/MOVPE系统 金属膜电阻器 micro computer mica trimmer capacitor micro bubble ASIC(ASIC) 云母修整电容器 微细气泡 微电脑 Micro-Opto-Electro-Mechanicmicrocomputer micro Farad al-System (MOEMS) software 微法拉 微光机电系统 微电脑软件 Microcontroller support cipcuitry microloading effect microroughness 微控制器支持电路 微型加载效应 微型粗糙度 Miller Bravais microwave plasma enhanced CVD system mike (microphone) indices 微波等离子体增强型CVD系统 麦克风 密勒布喇菲指数 Miller indices milliammeter milliamps (mA) 密勒指数 毫安(培)计 毫安 minimum detectable pulse width minimum pulse width Minority carrier 最小可?测脉冲宽 最小脉冲宽 少数载子 mirror projection mirror mirror lens projection aligner aligner 反射镜 镜面透镜对准曝光器 镜面投影对准曝光器 mirror wafer misfire mismatch 镜面晶圆 点火不良,无法发射 失配,不重合 mix-and-match/Mmist in bowl mix simulator &M 碗盘内雾状 混合仿真器 混合与匹配/M&M mixed level test mixed gas mixed level simulator bus 混合气体 混合位阶仿真器 混合位阶测试用总线 MO mixed signal tester Mixer Metal-Oxide-Film 混合信号测试器 混音器 Fixed resistor 氧化金属膜电阻器 MOCVD Metal organic model parameter Mobility chemical vapor deposition extraction 移动率 有机金属化学气相磊晶法 模型参数萃取 modulator modified illumination modulated carrier wave 调幅器;调变器;调变形照明 调变载波 制器 module module generator module test 模块 模块产生器 模块测试 MOEMS Micro-Opto-Electro-Mechanical mold clamping Moir'e topography Systems force 莫氏构形学 微光机电系统 成型夹住力 mold cleaner/die cleaner mold release/release agent mold temperature 铸模洗涤器 铸模分离/铸模分离剂 铸模温度 molding die molding equipment molding press 成型铸模 塑模成型装置,封胶装置 封胶冲压 molding time molecular beam epitaxial molecular beam 成型时间 growth system epitaxy (MBE) 分子束磊晶生长系统 分子束磊晶法 monitor board monitored burn-in system Monobrid circuits 监测基板 监视老化测试系统 组合式集成电路 MOS Metal Oxide Monolithic integrated circuit Moore's Law Semiconductor 单石集成电路 摩尔定律 金属氧化半导体 MOSFET Metal Oxide MOSFET Semiconductor Field Effect mother board 金属化物半导体场效晶体管 母基板 Transistor 金属氧化半导体场效晶体管 Motif mount plate/top plate mounter Motif图形接口 固定板/上方板 安装器 mounting machine /mount mounting height above the work-plane mouse hole station 工作面上安装高度 老鼠洞 晶圆固定机 MRAM Magnetic Random muliple tilt angle moving picture experts Access Memory implantation 动画专家群 磁阻式随机存取内存 多倾斜角植入 mulit media mulit media microcomputer Multi AMS 多媒体 多媒体微电脑 多重AMS multi cassette multi band saw multi blade saw rotor 多条带锯子 多刀片锯子 多个套装匣转子 multi pulling multi cycle annealing multi magazine type method 多循环退火处理 多个收盒型 多段拉晶法 multi step annealing multi steps annealing multi wire saw 多步骤退火处理 多步骤退火热处理 多钢线锯子 multi-bit memory application multi-chamber multi-bath wet cleaning equipment function vacuum system 多槽浸渍式洗条装置 多位内存应用功能 多室真空系统 Multi-chip circuit multi-plunger molding die multi-site probing 混合式电路 多柱塞型成型铸模 多部位探测 multi-station synchronous multi-tone multi-station cleaning equipment probe test function 多处理站洗条装置 多数测试站同步探针测试 多音调测试功能 Multilevel Neuromocular multiplayer mirror multichip module System optics 多芯片模块 多层次类分子神经系统 多层镜面光学 multiple head multiplayer resist method multiple bonding bonder 多层抗蚀剂法 多数个芯片接合 多焊接头压接机 multiple interference effect multiple nozzle multiple parallel 多重干涉效应 多喷嘴(配料机) plate electrode plasma enhanced CVD system 多平行电极等离子体 增强型CVD系统 multiplex test function multiple tilt angle implantation multiplexer 多任务测试功能,多路复用测试功多倾斜角植入 选通器,选择器 能 multitrack Mylar Film 多声道的;多音轨的 聚乙酯电容 电子电工英文词汇翻译n 时间:2010-06-13 10:55:44 来源:ab126 作者: n-TYPE SEMICONDUCTOR nail head bonder nano balance N型半导体 钉头式接合机,钉头式压接机 奈米秤 Nanoelectronic Circuits nanofabrication Nanomaterials 奈米电子电路 奈米制程;奈米制造;奈米制作 奈米材料 nanometer nanometer (=nm) nanometer, nm 奈米 奈米;毫微米;纳米 奈米 nanometric lithography nanotechnology Narrow 毫微米微影术 奈米技术 尖度 narrow gap reactive ion Narrow-band Narrowing effect etching system 窄带 窄化效应 狭窄间隙反应性离子蚀刻系统 native oxide layer natural abrasive navigation 自然氧化膜` 天然研磨料 故障导航观察 NC-control chamfer machine neck breaking negative electrode 数值控制去角取面机 颈部断裂 负电极 negative ion generator; Negative feedback nesting ionizer 负回授 阶层表达,阶层关系 负离子空气净化机 net net data net driven editor 联机网 联机网数据 联机网驱动编辑器 neutron transmutation doping net list Neutral region wafer 联机网表 中性区 参杂中子变嬗变晶圆 new donor NiCad cell nickel cadmium battery 新施体 镍镉电池 镍镉电池 nickel hydrogen battery NO Load node 镍氢电池 无载 节点 non flammable solvent vapor nodelock license non filling drying 节点锁定许可证 未填满,未注满 不可燃溶剂蒸汽干燥 non-standing wave type non mirror wafer non stick ultrasonic generator 非镜面晶圆 没黏住,没固定 非驻波型超音波产生器 nonconductor noncontact test system normal bonding 绝缘体 非接触型测试系统 正常接合,正向压接 normal single crystal Not AND Gate Not ORGate 正常单结体 与非门 或非门 notch Nozzle nozzle scan 凹槽,缺口 喷嘴 喷嘴扫描 nuclear magnetic resonance nuclear magnetic resonance NTC (NMR ) method 负的电阻温度系数 核磁共振 核磁共振法 numbers of unremovable number of clock number of timing phase particle 定时脉冲数 时钟脉冲相数 残留粒子数 numerical aperture 数值孔径 电子电工英文词汇翻译o 时间:2010-06-13 11:00:24 来源:ab126 作者: oblique incidence oblique lighting observability illumination 斜角照明 可观察性 倾射入射照明 OCR Optical character off line off-axis alignment recognition 离线 轴外对准 光学字符办识 offset offset control offset cylinder 偏置 偏置控制 胶版滚筒 offset printing press Offset Voltage Ohm 胶版印刷机 抵补电压 奥姆 on-axis alignment on-off electrical pulse on-off ratio 轴上对准 开关电脉冲 开/关比值 on-the-fly link blow on-the-fly split one bath type cleaning equipment 连接线快速烧断 快速分档改变 单槽型洗条设备 one layer tape one line compiler open cassette 一层胶带 单形编译器 开放式晶圆匣 Open Circuit operation box Operational Amplifiers 断路 操作箱 运算放大器 optical detector of particle on optical aligner Optical Bias surfaces 光对准曝光器 光学偏压 表面微粒生学探测器 optical edge bead removal Optical Isolators Optical Proximity Correction Mask 晶圆边缘曝光球状物去除 光隔离器 光学近接修边衬光罩 optional beam induced optical tweezer Optocoupler current 光钳 光耦合器 光束感应电流 organo-metallic CVD OR Gate organo-metallic VPE system system 或门 有机金属VPE系统 有机金属CVD系统 orientation flat orientation flat arrange orientation flat aligner/flat orientor equipment 定向平面 定向平面对准器 晶圆定向平面摆齐装置 orienter Orifice out diameter saw 定方位器 缩流孔 外径锯子 outer diameter blade outer lead outer lead bonder 外径刀片 外界引线 外界脚端接合机 outer lead bonding Output Impedance outside heating method 外界脚端接合 输出阻抗 外部加热法 over drive over flow rinse over ride 超越驱动;多余驱动 溢流冲洗 功能超越 over-erase prohibit over travel over-etching function 超程;多余行程 过份蚀刻 过多删除禁止功能 over-programming prohibit overcurrent & ground fault overall timing accuray function detecting sensor 全部定时信号精准度 过多程序设计禁止功能 过电流与接地错误检知传感器 overflow cup etching system overhang overlay accuracy 溢流杯蚀刻系统 突出部分,县垂物 重迭精确度 oxidation induced Oxidation oxidation seed stacking fault 氧化法 氧化种子 氧化感应迭层缺陷 oxygen concentration oxidation system Oxygen donor monitor 氧化系统 氧气施体 氧气浓度监控器 oxynitridation in N2O oxynitridation in N2O(NO) Ozone gas processor 在N2O中之氧氮化 在N2O(NO)中之氧氮化 臭氧瓦斯处理器 Ozone removal equipment ozonized ultrapure water 臭气去除装置 臭氧化超纯水 电子电工英文词汇翻译p 时间:2010-06-13 11:05:20 来源:ab126 作者: p/n type measurement p-TYPE SEMICONDUCTOR package system P型半导体 包封体,封装体 p/n型测试器 package test Packaging page test function 封装测试,封装体测试 晶圆封装 播叫测试功能 Parallel parallel beam Parallel Circuit 并联 平行波束 并联电路 parallel plate reactive parallel seam resistance parallel downflow rinse ionetching system welding equipment 并行下流冲洗 平行板反应性离子蚀刻系统 并列缝合电阻缝焊装置 parallel test function parameter extraction Parametric Equalizer 并行测试功能,同时测定机能 参数萃取 参数均衡器 parasitic capacitance partial site Particle 寄生电容 部分区分地段 粒子 parting line pass transistor design Passive component 分割线 传递晶体管设计 被动组件 Passive element paste bonding pattern generator 被动组件 糊胶接合 图案产生器 pattern matching method pattern matching mode pattern matching ratio 图案选配法 图案匹配模式 图案匹配比 pattern recognition capture pattern recognition of pattern recognition range object outline 图案识别 图案识别捕捉范围 物体外形图案识别 PCB (Printed Circuit pattern recognition speed pattern shift Board) 图案识别速度 图案偏移 印刷电路版 PCBA Print circuit Board Peak Program Meter PCB printed circuit board Assembly (PPM) 印刷电路板 电子印刷电路板总成 峰值节目表 per pin measurement pedestal peel off/bond lift off unit 支持台 剥离/压接脱离 每一脚端测试单元 per-pin resource tester per-pin tester Percent Regulation 每一脚资源测试器 每一脚端测试器 电压调整率 percent usable area performance board performer 可用区域百分比 工作特性基板 预先成形机 peripheral component interconnect peripheral processor Permanent Magnet 外围组件互相连接规格 外围处理器 永久磁铁 PH adjustment Permeability persistent current equipment 导磁率 永久电流 PH值调整装置 Phase Phase Angle phase clock 相位 相角 相位时钟脉冲 Phase Inversion Phase Margin Phase modulation 相位倒置 相位边际 调相 Phase Shift pHEMT photo assisted CVD 相位移 假型高速电子移动晶体管 system 光辅助型CVD系统 photo assisted vapor phase photo excited ashing photo catalysis epitaxial growth system system 光触媒 光辅助汽相磊晶生长系统 光激励灰化系统 photo-capacitance photo excited etching system Photo interrupters method 光激励蚀刻系统 光遮断器 光电容法 photo-elastic photo-chemical cleaning equipment photo-conduction method stress-strain analysis 光化学洗涤设备 光电导法 method 光弹性应力-应变分析法 photo-luminescence method photoconductor photocurrent method 光激发光法 光导体 光电流法 photoelectric sensing photodiode photoelectric effect method 光二极管 光电效应 光电传感法 photolithography photonic bandgap photonic crystal 显影技术; 光蚀刻微影 光子能隙 光子晶体 photonic switch photoresist Photosensitive resistor 光子转换器 光阻蚀剂 光敏电阻器 physical design physical conversion Physical Design exchange format 物理变换 实体设计 实体设计交换格式 picture-to-picture pico Farad picofarad (pico-farad or pF) operation 微微法拉 微微法拉 影像间演算 PID temperature control piezoelectric buzzer piezoelectric siren PID温度控制 压电式蜂鸣器 压电式警报器 pilot pin Pilypropylene Film pin chuck 引导插栓 聚丙烯 支杆夹头 pin electronics pin monitor pin-multiplex function 脚端接口电路 脚端监视器 脚端选通功能 pinch cutting Pinch-off pinhole 夹断部切断 夹止 针孔 pinhole camera piranha cleaning PIV 针孔摄影 (吃人鱼)白骨化洗涤 逆向峰值电压 planar magnetron sputtering pixel-to pixel operation Planarization system 像素间演算 平坦化 平面磁控管溅镀系统 plasma assisted controlled thinning plane defect plasma method 面缺陷 电浆 等离子辅助控制薄膜化加工 法 plasma cleaning plasma cleaning equipment plasma contamination 等离子体清除,电桨清除 等离子体洗条设备 等离子体污染 plasma damage Plasma Display Panel (PDP) plasma doping system 等离子体损伤 电浆显示器 等离子体掺杂系统 plasma enhanced CVD system plasma etching system plasma flood gun 等离子体增强CVD系统 等离子体蚀刻系统 淹没式等离子体 plasma oxidation furnace plasma TEOS CVD method plasma trap 等离子体氧化系统 等离子体TEOS CVD法 等离子体陷阱 plasmas nitride dielectrics platen Platform 电浆氮化物介电层 压板 操作台 PLD Programmable Logic player Ploy-Crystalline Device 播放机;播放器 多晶体 可程序逻辑IC plunge up plunger PN JUNCTIONS 将芯片往上顶超 柱塞 PN接面 Pod POGO contact POGO pin 密闭荚式容器 弹簧针头接点 POGO针头 point defect point method point-and-shoot camera 点缺陷 逐点计算法 傻瓜相机 Pole Polished Prime wafers polished wafer 极点 抛光硅晶圆 经抛光晶圆 polishing cloth/polishing Polishing Polishing cloth pad 抛光加工 抛光布,抛光垫,研磨布 抛光布/抛光垫 Poly-Silicon Polycarbonate Film Polycells 多晶硅 聚碳酸脂 复合小片 polycrystal polyimide coating polymer lithium battery 多晶体 聚酰亚胺涂敷 高分子锂电池 portable digital voice Portastudio (portable Polystyrene Film recorder studio) 聚苯乙烯 随身型数字式录音机;数字录音随身录音室 笔 post acceleration positive electrode Positive Feedback system 正电极 正回授 后段加速系统 Post exposure pre post cut post-bake/after-bake development bake 接线柱剪断 事后烘烤处理 曝光后显影前之烘烤处理 post-treatment chamber pot Potential 后端处理室 树脂存放加热筒 电位 potential barrier Potential Difference potential measurement 位能障壁 电位差 电位测量 Potential Well potting equipment powder 电位阱 灌注装置 微粒 power consumption Power Power Amplifier analysis 功率 功率扩大机 消耗功率分析 power converter Power Dissipation power efficiency 电源转换器;变压器 散逸功率 功率效益 Power Gain Power Handling Power Oil Pump 功率增益 功率处理 动力油泵 Power Station power supplies power synthesis 发电厂 充电器 功率合成 Power Transistor pre heater pre heating 功率双载子晶体管 预热器 预先加热 Pre-Amplifier/Control pre-alignment Pre-amp Amplifier 预先对准 前置放大器 前置扩大机/控制扩大机 pre-bake Pre-cleaning chamber pre-coat filter 预先烘烤处理 预先清除室 预敷过滤器 Pre-dispense pre-heater Pre-Out 预先配药 预先加热器 前置输出 pre-purge Pre-sputtering pre-wafer test 预先纯化 预先溅镀处理 晶圆前置测试 pre-wet preacceleration system prealignment 预先沾湿 前置加速系统 预先对准 preamplifier precipitation precipitation of oxygen 前级放大器 析出,沉淀 氧气析出 precision arbitrary wave generator precision digitizer Preheater 精密频率任意波形产生器 精密数字转换器 预热器 press bolt press ring pressure cooker 加压螺丝 加压环 压力锅 pretreatment pressure filter pressure shock equipment with 压力过滤器 压力冲击 membrane filter 薄膜过滤器前置处理装置 pretretment equipment primary primary cell 前置处理装置 初级线圈 一次电池 primary coil primary lithium battery primary playing area 初级线圈 一次锂电池 主要竞赛区 primary pure water system prime dispense printing press 一次纯水系统 原始配药 直接印刷机 probe-make inspection probe card probe needle function 探针卡 探测针 探针接触痕检查功能 process induced particle process chamber process cup counter 处理室 制程杯状容器 制程感应粒子计数器 process integration process simulation process simulatoin 加工整合,整合处理 制程模拟 制程模拟 process simulator process tube Profit margin 制程仿真器 加工处理管,管状反应器 边际效用 Programmable logic programmable focus control programmable load device(PLD) 可程序焦点控制 可程序负载 可程序逻辑装置 protrusion dam bar projection aligner projection optical system 堵住杆突起部分,纬之突出投影对准曝光器 投影光学系统 部分 proximity bake proximity circuit proximity effect 邻近烘烤处理 近接(引爆)电路 邻近效应 pseudo-wire length puddle developing Pull 假想布线长度 浸置式显影 取 pull cut pull shaft pull strength 拉断 上拉轴 拉引强度 pull up drying pull test pull tester equipment 拉引试验 拉引测试机 上拉干燥设备 pulse heated pull wire pulling method thernode/pulse heat 卷扬线 拉晶法 tool 脉冲电流加热压接工具 pulse laser annealer pulse laser exposure method pulse mask test 脉冲激光退火处理机 脉冲激光曝光法 脉冲掩模试验 pulse width modulation pulse position modulation Pulse waveform (PWM) 脉波位置调变 脉波 脉冲宽度调变 Punch ghrough Punch through voltage pure water 穿透崩溃 穿透电压 纯水 PUSH-PULL AMPLIFIERS 推挽式放大器 电子电工英文词汇翻译q 时间:2010-06-13 11:10:04 来源:ab126 作者: quad flat package Quality Factor quality of lighting 四侧面脚端表面安装型封装体 品质因子 照明之质量 Quanta Computer Inc Quanta Display Inc Quantum Computer 广达计算机 广辉电子 量子计算机 Quark-gluon plasma quantum dot quarter micron design (GQP) 量子点 0.25微米设计 夸克-胶子电浆 quarter-wave-stack quartz tube cleaning quick dump rinse multi-layered system equipment 快速倾卸冲洗 四分之一波长多层系统 石英管洗涤设备 Quiescent quiescent power supply current test 静态点 静止电源电流测试 电子电工英文词汇翻译r 时间:2010-06-13 11:13:15 来源:ab126 作者: Radial Heat Sink Rear Channel radial temperature R/W Circuitry Decorrelation Circuitry uniformity 读写电路 后方声道解相关电路 径向温度均一性 Radio frequency radical radio frequency identification 基 射频 (RFID) 射频识别 radio frequency Radio frequency linac Radio frequency lines accelerator quadrapole accelerator 高频线性加速器 accelerator 高频线性加速器 高频四重极加速器 radio frequency workcoil radition shield ramp rate 高频工作线圈 辐射屏障 升降温速度/斜坡率 ramp up ramp voltage test rank marking 倾斜升温,每单位时间之温度上升 斜坡电压测试 按等级标印 rapid cooling system rapid mixing chamber rapid sand filter 急冷系统 快速混合槽 快速砂砾过滤器 rated output power of ultrasonic rapid thermal process rate generator 快速热处理 比率 超音波产生器额定输 出 ratio of crucible shaft driving RC parameter extraction RC Time Constant speed RC 参数萃取 RC时间常数 坩埚轴驱动速度比率 RCA cleaning method reaction chamber/ reactor reaction tube RCA洗条法 反应室/反应器 反应管 reactive ion beam etching reactive ion etching system/RIE reactive sputter system/RIBE system system etching system 反应性离子束蚀刻系统 反应性离子蚀刻系统/RIE系统 反应性溅镀蚀刻系统 reactive sputtering system reagent real chip simulator 反应性溅镀系统 化学助剂 实际芯片仿真器 Real Time timing control Real tube real-time 及时定时控制 真空管 redundancy judgement function 实时冗余判断功能 recharge CZ receiver receiving antenna method 接受匣盒 接收天线 再补充CZ 法 reciprocal logic rechargeable cell recipe circuit 充电电池 处理程序 互逆逻辑电路 reclaim wafer Reclaimed wafers recognition rate 回收晶圆 再生晶圆 识别率 Reconfigurable logic record player rectifier 可重构逻辑 唱机 整流器 reduced instruction set computerreduced pressure rectifier filter (RISC) controller 整流滤波器 精简指令集计算机 减压控制器 reduced presure vapor phase reduced pressure vapor phase reduced pressure method epitaxial growth epitaxial growth system 减压法 system 减压汽相磊晶生长系统 减压汽相磊晶生长系 统 redundant memory redundancy analyzer redundancy function repair system 冗余分析仪 多余功能,冗余功能 冗余记忆器检修系统 reel reference plane reference signal 卷筒 基准面 参考信号;基准信号 reflectance of a reference source refining speed surface or medium 基准信号电源 精练速度 反射率 reflected glare reflected signal reflection 反射眩光 反射信号 反射 reflection high energy electron reflow reflector 圆滑热处理,平坦化热diffraction method 反射器 反射高能电子绕射法 处理技术 register transfer refresh function register transfer level description level floor plan 刷新功能;再生功能 缓存器传送位阶记述 缓存器传送层级平面 图 register transfer level sign off registration accuracy relative humidity RTL(缓存器传送位阶)签字保证 重合精确度 相对湿度 relay remaining gate remaining resin 继电器 残留闸门 残留树脂 remaining tie bar residual air residual chlorine 残留脚端联结杆 残留空气 meter 残留氯气测试计 resist ashing resin cutting resinoid grinding wheel system 树脂切割 熟化树脂研磨轮 抗转剂灰化系统 resist curing system Resist Electromagnetic Wave Clothing resist ozone asher 抗蚀剂熟化系统 隔电磁波防护衣 抗蚀剂臭氧灰化机 resist plasma ashing system resist processing equipment resist stripper 等离子体抗蚀剂灰化系统 抗蚀剂处理设备 抗蚀剂剥离液 resist thermal resist stripping system resist temperature control stability 抗蚀剂剥离系统 抗蚀剂温度控制 抗蚀剂热稳定性 resistance heading resist thickness uniformity Resistance furnace 抗蚀剂膜厚均质性 电阻 电阻加热炉 resistance heating evaporation resistance heating resistance heating element system method 电阻加热组件 电阻加热真控蒸镀系统 电阻加热法 Resistance Temperature resistive element Resistivity Detector (RTD) 电阻性成份 电阻率ρe 电阻温度检测器 Resistor resolution resolving aperture 电阻 分解度 鉴别孔隙 Reticle reticle alignment reticle blind 光罩 标线片对准 标线片遮帘 reticle rotation reticle holder reticle loader error 标线片固定器 标线片搭载器 标线旋转误差 reticle stage reusable design reverse bias 标线片载物台 再利用设计 逆向偏压 reverse bonding reverse motion reverse synthesis 逆向接合,逆向压接 反向动作 逆向合成 revolution mark revolution speed set up time rewinding 旋转标痕 旋转速度建立时间 倒带 RF diode sptuttering system RF electrode RF generator 高频二极管溅镀系统 高频施加电极 高频产生器` RF plasma enhanced CVD ridge ring blade system 隆起物 环形刀片 高频等离子体增强CVD系统 Ripple Ripple factor Rise time 涟波 涟波因子 上升时间 robot for using in vacuum robotic transporter roller 真空机械人 机械人输送机 滚筒,山刷滚轮 ROM test data memory room utilization factor (utilance) Root-mean-square ROM测试数据记忆器 室利用系数 value (RMS) 均方根值 rotating ingot rotary and revolutionary jig rotary head bonder slicing machine 自转公转夹具 旋转压接头接合机 晶锭旋转切割机 rotating speed rotation speed rotational implant 转盘旋转速度 自旋马达之回转数 旋转式〈离子〉植入 rotor turn table Routing routing switch 转子转盘 绕线 路由开关 run out runner runner stop 产生误差 树脂流道 树脂流道口堵塞物 Rutherford backscattering method 卢瑟福后向散射法 电子电工英文词汇翻译s 时间:2010-06-13 11:17:21 来源:ab126 作者: S parameter sampling digitizer sampling probe function S 参数 取样数字转换器 取样探测功能 Sampo Corporation sand cloth sand filter 声宝企业 砂布 砂砾过滤器 sand paper sanding disc satellite dish 砂纸 金刚砂研磨盘 卫星接收器;卫星天线;小耳朵 Satellite Speaker satellite tracking Sato etching 卫星扬声器 卫星导航 佐藤蚀刻 saucer pit defect saw mark saw wire 碟状坑缺陷 锯痕 线锯钢线 SBM Sensitivity scan bus method scan path method 扬声器之灵敏度 扫描总线法 扫描路径法 scan path test scanned beam current scanning acoustic tomograph 扫描路径试验 扫描波束电流 超音波断层扫描摄影装置 scanning Auger electron scanning electron scanning function microscope microscope 扫描功能 扫描型奥格电子显微镜 扫描型电子显微镜 scanning transmission Scanning Tunneling Microscope, scanning projection aligner electron microscope STM 扫描型投影对准曝光器 扫描透射型电子显微镜 扫描穿隧显微镜 scanning tunneling scavenger schematic editor microscopy 换气管 简图编辑器 扫描隧道型显微镜学 Schottky Schottky Barrier Diodes Schottky Diodes 萧特基 萧特基二极管 萧特基二极管 Scope Probe scratch screening 普通示波器探棒 划痕,擦伤 筛选 scribing scrubbing scum 划片,划割,划线 揉擦适应 浮渣 SDH sea of gate seam 同步数字架构传输网络 标准闸门电子组件 缝口 search speed search level seasoning 焊接工具保持部下降量,搭搜抹速度 风干处理 接深度 Secco etching second bond off second bonding 射哥蚀刻 第二接合点剥离 第二接合,第二压接 secondary battery secondary cell secondary coil 二次电池 蓄电池;二次电池 次级线圈 secondary electron image secondary ion mass secondary lithium battery survey function spectroscopy 二次锂电池 二次电子影像观测功能 二次离子质谱学 secondary playing area security camera see saw type wire saw 次要竞赛区 监视摄影机 交互转换式线锯 seed chuck seed crystal seed cut 籽晶夹头 籽晶 种子棒切割 seed lift rate seed lift travel seed rotation rate 籽晶升降速率 籽晶升降行程 籽晶旋转速率 seed shaft segregation Selective diffusion 籽晶轴 偏析 定区扩散法 self bias self diagnostic function self-aligned contact etching 自给偏压 自我诊断功能 自我对准接解孔蚀刻 semi full cutting Semiconductor semiconductor bonding wafer 半全切割 半导体 半导体接合晶圆 Semiconductors semicustom IC sender 半导体 客户半定制IC 发送机 separation by implantation of sense circuit separate feeding oxygen SOI wafer 传感电路 隔开输送 SIMOX SOI 晶圆 sequential pattern sequential damper series active power filter (SAPF) generator 时序风门,时序排气器 串联型主动式电力滤波器 序列图案产生器 setting length of tool sewing machine shallow pit defect 压接头至超音波叭头之设定长度 缝纫机 浅坑缺陷 shearing mode/failure shape of beam shielding angle (of a luminaire) mode 光束形状 灯具遮蔽角 剪切模式/故障模式 Shock test Short Circuit shot 冲震试验 短路 每次之曝光照射,镜头 shoulder shoulder angle Shut off Valve 肩部 过肩角 关断阀 shutter Si Silicon side etching 快门光闸 硅 侧面蚀刻 sidewall protection layer Sight Glass sign off 侧壁保护层 窗口 签字保证 sign off simulator signal strength Silicon 签字保证仿真器 信号强度 硅元素 silicon compiler silicon ingot silicon oxynitride 硅晶自动编辑器 硅晶锭 氮氧硅石 Silicon-controlled rectifier Silicon-controlled switch silicone chip 硅控整流器 硅控开关 硅芯片 silt density index(SDI) silk screening silver oxide battery 丝网法 淤泥密度指针(SDI) 银氧化物电池 silver zinc battery silylization system simple 银锌电池 甲硅烷基化处理系统 凹坑,表面微凹 simulated annealing Simulator single cassette rotor 模拟退火 仿真器 单个套装匣转子 single electron transistor single crystal single point boding (SET) 单晶体 单端子接合 单电子晶体管 single side lapping single point TAB bonding tool single side polishing machine machine 单点TAB接合工具 单面抛光机 单面磨光机 single station cleaning single wafer processing single wafer processing cleaner equipment 单晶圆处理方式 单晶圆加工洗涤机 单站洗涤装置 single wall carbon nanotube Single-end input singulation/separate (SWNT) 单端输入 分离 单壁碳奈米管 SiO2 film fluorine doped silicon sinter Sinusoidal steady state dioxide 热压法,烧结 弦波稳态电路 掺杂氟素二氧化硅膜 Sirtl etching sit array site 沙特蚀刻 区分地段数组 区分地段,划分地段 site array site flatness site FPD 区分地段数组 区分地段平面度 区分地段焦点平面偏差 site size site TIR skew 区分地段大小 区分地段总指示器读数 相位差,时间偏差 skip measurement sky wave Slew Rate 跳越测试 天波 转换率 sliced wafer slicing machine sliding mode controller 已切割晶圆 切割机 滑动模式控制器 slip slip plane slow pumping/slow roughing 滑动 滑动面 缓慢排气 slow vent sludge removal system slurry 缓慢通气 淤泥排除系统 泥状研磨剂 slurry separator Smart Card smart model 研磨剂分离器 智慧卡 精灵模型 SMD / SMT SMD SMD Surface Mount Type 表面黏着用电子零件、修护焊锡膏,导电银漆笔 表面黏着式 工具 smear test smoothing filter snap cure 画素之电荷流量试验 平坦滤波器 快速硬化 soak time SOC System On a Chip socket board 热炼时间 系统单芯片 插座基板 socket type contract SOD soft landing 插座型接触 SO二极管 软性着陆 soft macro cell soft roughmg soft vent 软性宏功能电路胞 软性排气 软性通气 soft x-ray soft-bake software error 软X光 软性烘烤处理 软件错误 SOI Silicon on SOG spin on glass coating solder bonding Insulator 旋转涂布玻璃 焊剂接合 绝缘层上覆硅 Solder Cream Circuit Writer solder dip test system solder dipping machine 防磁盾 隔电磁波涂剂 浸焊测试系统 浸焊剂装置 solder sealing solder plating machine Soldering / Desoldering Tools equipment 镀焊装置 焊接、拆焊工具材料 焊料密封装置 solid phase epitaxial growth Solid State Electronics solid vaporizer system 固态电子 固体蒸发源 固相磊晶生长系统 solidification ratio Soot Blower sori 固化比率 吹灰器 弯度 Sori control system sorter Source 弯度控制系统 分类器 源极 source cabinet source code compiler space lattice 瓦斯源系统收纳室 原始码编译器 空间晶格;空间点阵 spacer spare row/column spark gap 间隔片 备用行/列 火炬放电距离 spatial filtering spin cap spin chuck 空间滤光 自旋帽罩 旋转夹头` spin cleaner spin coater spin Coating 自旋洗涤器 自旋式涂敷机 旋转涂布 spin developer spin dryer spin on glass coating 自旋显影机 自旋干燥机 SOG涂敷 spindle flange Spindle Motor Control SPL Spontaneous Twin Drive 凸缘轴 主轴马达控制电路 自动双驱动 splash back splash guard spotlight 溅射回来 防溅罩 聚光灯 spray developer spray etching system spreading resistance method 喷涂显影机 喷涂式蚀刻系统 扩散电阻法 sputter-gun sputtering sputter etching Sputtering system 溅射蚀刻 阴极喷镀法 溅射溅镀系统 sputtering rate sputtering system sputtering yield 溅镀速率 溅镀系统 溅射二次放射系数 square cutting squashed ball diameter SRAM 方形切割 压扁球径 静态内存 stabilizing circuit Stabistor stacker 稳定电路 稳阻器 堆栈机 stack。stack capacitor stacking fault Stainless Steel Armored Cord 迭层缺陷 堆栈式电容 不锈钢仪表线路配线软管 stamper stamping head stand-off 冲压模,压模机 印模头,冲压模头 托脚、底座间隙保持器 standard cell standard delay format Standard logic IC 标准功能电路胞 标准延迟格式 标准逻辑IC standard parasitic exchange standing wave effect state format 驻波效应 状态 标准寄生交换格式 state diagram state transition graph static burn-in system 状态图 状态过渡图 静态老化测试系统 static electricity tester static eliminator static functional test 静电测试器 静电消除器 静态功能试验 static timing analysis static pressure bearing Staturation region tool 静压轴承 饱和区 静态时序分析工具 step and scan projection Steam Turbine step coverage aligner 汽轮机 阶跃式覆盖率 步进扫描投影对准曝光器 step etching step junction step pith 阶段性蚀刻 步级式结合 步进节距 Step Response Step-graded stepper 步级响应 步阶式 步进机 stepping projection aligner stepping X-ray aligner stimulus 步进式投影对准曝光器 步进式x线对准曝光器 刺激源、信号数据群 stitch bonding stock removal polishing stocker 针脚式接合,打线不黏 一次抛光 暂存盒 Storage time Store stray light 储存时间 存 杂散光 streaming current stream format street monitor 注流格式 界道,切割道 流动电流监视器 stress migration test stretch and squeeze striation 应力迁移试验 拉伸与挤压 生长条纹 strobe stroboscopic imaging structural description language 选通信号 频闪影像法 结构记述语言 structure sub master sub runner 结构 吸附盘 树脂次流道 sub-wavelength grating subjective brightness Substrate 次波长光栅 主亮度 基板 substrate cooling mechanism Subwoofer suck back 基片冷却机构 重低音喇叭 吸回 sulfuric acid hydrogen summary data sun gear peroxide cleaning 摘要数据 太阳齿轮 硫酸过氧化氢洗涤 super accelerating life test super buffer memory Super Density Heads 超加速寿命测试 超级缓冲记忆器 超密度磁头 super final polishing Super Heater super integration 终极加工抛光 过热器 超积体 surface & cylindrical surface & cylindrical grinding supplementary lighting double machine 补助照明 表面圆筒(参)头研磨机 表面圆筒研磨机 surface cleanliness surface contamination surface mount technology (SMT) 表面洁净度 表面污染 表面黏着技术 surface photovoltaic surface mounted luminaire surface scanner method 平面安装灯具 表面缺陷扫描仪 表面光电压法 surfactant added cleaning Surface tension surface wave plasma chemical 表面张力 表面波等离子体 添加表面活化洗涤药品 susceptor sweep measurement swift start up system 承受器 扫描测量 快速启动系统 swing curve effect swirl Switch 摆动曲线效应 旋涡纹 开关 Switch Diodes switch level description Switching Power Supply 交换二极管 开关位阶记述 交换式直流电源 switching series regulator symbol symbol library (SSR) 符号 符号数据库 交换串联整流 symbolic editor synchronized drive synchronous design 符号编辑器 同步驱动 同步设计 synchrotron radiation aligner Synthesis system core 同步加速器放射线对准曝光器 合成器 系统核心 system design System integration system macro 系统设计 系统整合 系统宏 System on chip System on silicon 系统芯片 系统硅芯片 电子电工英文词汇翻译t 时间:2010-06-13 11:22:13 来源:ab126 作者: T control T monitor table 接合温度控制 接合温度监控器 台;盘 table look up model table oscillator table tear 非线性延迟模型 平盘摆动机 夹台撕断 tablet tail tail length 小片,小块 尾部 尾巴长度 tailess wire tail length dispersion tail process cutting 标准尾巴长度离差 尾部处理 无尾引线拉断 Taipei Electric Appliance tamper tailing Audio-Visual Fair protection 尾部处理 台北家电视听展 窜改保护 tape automated tandem electrostatic accelerator tantalum electrolytic capacitor bonding 串接静电加速器 钽质电解电容器 胶带自动接合 tape carrier/film tape carrier tape carrier package carrier 输送胶带 输送胶带封装体 输送用胶带 tape peeling tape cutting tape expander machine 胶片切割 黏胶片扩展器 黏胶片剥离机 tape rewinding tapeless cutting taper angle 倒带 无胶片切割 锥度角 taper etching target target chamber 锥角蚀刻 靶子 打靶室 TEA CO2 laser target scan task lighting 大气压横向激励二靶图案扫描 工作岗位照明 氧化碳激光 technology technology CAD technology conversion mapping 工程用计算机辅助设计 制程变换 制程对应 temperature Teco Electric and Machinery Temperature Coefficient (TC) distribution 东元电机 温度系数 温度分布 temperature temperature fall time temperature profile recovery time 温度下降时间 温度断面图 温度复原时间 temperature rise time temperature up and down profile Template 温度上升时间 温度升降剖面图 模板 Tempreature / Humidity Control tensile strength tension clamp 温度、湿度控制 仪表、零组件 抗张强度 张力夹 TEOS?O3atmospheric pressure tensioning the blade test bench CVD method 增加刀片之张力 试验台;测试台 TEOS?O3大气压CVD法 test burn-in test board test box system/testing 测试基板 测试箱;检验箱 burn-in system 老化测试评鉴系统 test test bus test element group frequency/operat测试用总线 测试式组件组 ing frequency 测试频率/动作频率 test function of scan designed device test head test pattern 已完成设计装置扫描测试功能 测试头,测试部 测试图案 test pattern memory test period/test rate test program 测试图案记忆器 测试周期/测试数度 测试程序 test rate test station test synthesis 产生一个步骤测试数据所需要的时间 测试站 测试电路合成 test system network test vector generator test-site 测试系统网络 测试用向量产生器 测试现场 tester testability tester control processor management 可测试性 测试器控制处理器 processor 测试器管理处理器 The Bypass Texas Instruments THB test Network 德州仪器 高温;刚潮湿 旁路网络 The Darlington Pair The Depletion Layer thermal budget 达灵顿对 空乏区 热预算,热开支 Thermal Thermal collision Thermal compensation compression 热碰撞 热补当 bond 热压接法 thermal diffusion THERMAL CUTOFFS FUSE thermal CVD system furnace 温度保险丝 热激励CVD系统 热扩散炉 thermal oxidation furnace Thermal runaway thermal shock 热氧化炉 热跑脱 热震试验 thermally stimulated current thermal shock test thermistor method 热冲击试验 热阻器 热刺激电流法 thermo-compression bonding thermo-compression wire bonder thermocouple 热压接接合 热压接引线接合机 热电耦 thermosonic wire thermoionic assisted tetrode thermoionic assisted triode bonder sputtering system sputtering system 热压接超音波并用4极管热离子辅助溅镀系统 三极管热离子辅助溅镀系统 引线压接机 thermosonic wire bonding thick wire/heavy wire thick-film circuit 热压托超音波并用引线接合 粗线 厚膜电路 Thick-film resistor thickness thickness control 厚膜电阻 厚度 膜厚控制 thin wire/fine thickness variation thin film deposition wire 厚度变动 薄膜沉积系统 细线 Thin-film resistor thining down three layer tape 薄膜电阻 变薄,变细 三层胶带 three level drive three point reference method three way type 三值电平驱动 三点基准法 三方向方式 through-the-lens Threshold voltage through-the-lens alignment auto focusing 临界电压 经由透镜对准 经由透镜自动聚焦 through-the-reticle alignment throughput Thyristor 经由标线片对准 生产量,工件数 闸流器 Thyristors Ti:sapphire tie bar 闸流体 钛-蓝宝石 联结杆 tie bar cutting time analyzer time delay test 联结杆切断 时间分析仪 时间延迟测试 time dependent dielectric breakdown time domain refractometry time modulation test calibration etching 电介质随时间变化破坏试验 时域折设计校准 时间调制蚀刻 time-lapse Timer Relays timing analyzer 延时拍摄 定时器 定时器 限时继电器 时序分析仪 timing driven timing assurance layout timing data design 时序保证配置 时序资料 时序驱动设计 timing driven layout timing edge timing generator 时序驱动配置 定时脉冲边缘 定时脉冲产生器 timing simulator TiO 2 TO package 时序仿真器 二氧化钛 TO型封装 toggle rate tool cleaning tool height 反复变化率 工具清洗 压接工具高度 tool lapping top top ring 工具头研磨 顶部 顶环 top ring forced drive mechanism top ring/top block top-down design 上方环形转盘强制驱动机构 上方环形转盘/上方块板 由上而下之设计 total dissolved solid(TDS) total index speed total indicator 全部溶解固体 全部索引数度 reading 总指示器读数 total reflection X-ray total organic halogen(TOX) total overlay accuracy fluorescence 全部有机卤素化合物 总重迭精确度 analysis method 全反射X线荧光分 析法 total room temperature wet cleaning total thickness variation touch roller process 总厚度变动 接触滚轮 全室温湿式洗涤处理 Transconductance (gm) transducer transfer collet 互导 转换器 传送用吸具 transfer pressure balancing transfer force transfer pressure mechamism 传送力,传递力 传送压力 柱塞输送压力平衡 机构 Transient Voltage transfer speed Transient Voltage Suppressor Suppressor TVS 树脂注入速度,传送速度 突波抑制器 突波抑制器 transistor transistor of junction type Transistors 晶体管 接合型晶体管 晶体管 transition region Transition time transmission 转换区 过渡时间 传播 transmission electron microscope transmission line simulator transmittance 透射型电子显微镜 传送线路仿真器 透过率 transparent transmitted signal transmitting aerial electronics 传送信号 发射天线 透明电子组件 traverser trench etching Triac 拉线器;紧线器 沟渠蚀刻 触发交流闸 trim and forming trigger terminal trihalomethane die/cut and 触发器输出端子 三卤甲烷 bending die 修整成型铸模 trim and forming machine/cut and triode reactive ion etching system trnsfer molding bending machine 三极型反应性离子蚀刻系统 传送模塑法 脚端引线加工机 True On-line U.P.S truing truth table 不停电电源供应器 整形;修整 真理表 tungsten-halogetube controller Tuned Operation n lamp 管别控制器 调谐动作 钨-卤素电灯 TUNNEL DIODES turbo molecular pump Turn off time 透纳二极管 过轮式分子泵 关闭时间 Turn on time turn table turn-around-time 导通时间 转盘 一贯制程所需时间 twin photobeam Turning Gear twin crystal detector 慢车齿轮 双晶 双回路红外线检测 器 two layer tape two sided channel collet two way type 二层胶带 二边锥形吸具 二方向方式 two-stage wheel 两段磨轮 电子电工英文词汇翻译u 时间:2010-06-13 11:26:40 来源:ab126 作者: ultra fast recovery UJT Ultra Large Scale Integration (ULSI) rectifier 单接合晶体管 极大规模集成电路 超快速回复二极管 Ultracapacitor ultrasonic ultrasonic cleaning equipment 超级电容器 超声波 超音波洗涤装置 ultrasonic power ultrasonic horn ultrasonic sensing method density 超音波喇叭形辐射体 超音波传感器 超音波输出功率密度 ultrasonic spray cleaning ultrasonic wire bonder ultrasonic wire bonding equipment 超音波引线压接机 超音波引线压接 超音波喷洗洗条装置 ultraviolet lamp heating under water conveyor under-etching CVD system 水中输送机 蚀刻不足 紫外线灯加热型CVD系统 undercut underdrain equipment UNIJUNCTION TRANSISTOR (UTJ) 切割不足,蚀刻不足 底座排水装置 单接面晶体管 unit of traversing the reel unit per hour universal serial bus (USB) 拉绕线架 每单位小时 通用序列总线;通用串行总线 unloader Unruh radiation up cutting 卸载机,卸货机 安如辐射 上行切割 up set up-flow filter upper lapping plate 上移安置 往上流过滤器 上方磨盘/上定盘 upper surface grinding Upper triggerpoint UPS Uninterrupted Power System width (UTP) 不断电系统 上侧平面研磨宽度 上激发点 utilities/utility user interface(GUI) utility box program 图表使用者接口 公用设施箱 应用工程,公用设施 UV irradiation equipment UV oven 紫外线照射装置 紫外线干燥炉 电子电工英文词汇翻译v 时间:2010-06-13 13:08:00 来源:ab126 作者: vacuum chuck of rotary table vacuum chuck stage vacuum drying equipment 旋转台真空吸盘 真空吸盘夹片台 真空干燥设备 vacuum evaporation Vacuum evaporation vacuum molding system 真空蒸着法 真空成型 真空蒸镀系统 vacuum pad Vacuum plate Vacuum Pump 真空吸盘 真空吸附板 真空泵 vacuum/ nonvacuum vacuum/nonvacuum Valence band 真空吸附/非真空吸附 真空吸附/非真空吸附 价电带 valence electron Valence Electrons Van der Pauw's method 价电子 价电子 范德伯斯法 vapor phase cleaning vapor phase epitaxial growth vapor drying equipment equipment system 蒸汽干燥设备 汽相洗条设备 汽相磊晶生长系统 vapor-cell atomic frequency vaporized solution varactor reference source CVD 可变电容器 蒸气腔体原子频率参考 汽化液体源CVD varactor diodes variable capacitor Variable Transformer 变容二极管 可变电容器 无段自耦变压器 电压调整器 VCM。Virtual Channel Memory vector correlation vector image SDRAM method 向量影像 虚拟信道内存 向量相关法 veiling luminance veiling reflection Vent 光幕照明 光幕反射 气孔 Verilog hardware Verification Vertical Bridgman method description language 验证 垂直型布里志曼法 Verilog硬件记述语言 vertical low pressure vertical spindle rotary table vertical lighting CVD system surface grinding machine 纵向照度,竖直照明 垂直型低压CVD装置 垂直轴旋转盘表面研磨机 VHSIC hardware description VHDL initiative towards ASIC VHDL simulator language VHDL推动ASIC数据库标准或活动 VHDL仿真器 超大规模集成电路(VHSIC) vibratory multi-band vibration detector video cassette recorder (VCR) saw 震动检测器 录放机 震动式多条带锯子 virtual ICE(ICE) view port Virtual ground 观察窗口 虚接地 虚拟ICE virtual socket interface virtual tester visibility 虚拟插座接口 虚拟测试器 明视度 visual acuity visual angle visual field 视觉锐度或视力 视角 视野或视界 visual inspection machine visual perception visual performance 目视检验设备 视觉 视功能 visual surround visual task vitrified grinding wheel 视周围 视工作岗位 陶瓷化研磨轮 voice synthesizer void VoIP Voice Over Internet Protocol 语音合成器 空隙,空洞 网络语音服务系统 Volt Voltage voltage contrast/potential contrast 伏特 电压 电压对比/电位对比 Voltage Follower Voltage Gain VOLTAGE MULTIPLIERS 电压随耦器 电压增益 倍压器 Voltage requlation voltage source voltage Voltage Regulators (VR) measurement 电压调整器 电压调节 施加电压电压测试 voltage source(force)current voltmeter volume unit (VU) measurement 伏特计;电压计 音量单位 施加电压之电流测试 VRAM emulator VSWR measurement VU (volume unit) meter VRAM仿真器 电压驻波比测量 音量单位表 电子电工英文词汇翻译w 时间:2010-06-13 13:12:02 来源:ab126 作者: Wafer wafer alignment wafer automatic transfer system 芯片 晶圆对准 晶圆自动传送系统 wafer breaking wafer burn-in wafer burn-in system equipment 晶圆老化测试 晶圆老化测试系统 晶圆劈开设备 wafer cassette wafer chaner wafer cooling stage 晶圆输送盒 晶圆更换机 晶圆冷却夹片台 wafer disk wafer distortion wafer dose uniformity 晶圆圆盘 晶圆变形 晶圆离子注入均质性 wafer end Wafer Fabrication wafer frame 晶圆测试完毕信号 晶圆制程 晶圆框架 wafer group closing wafer frame cassette wafer heating mechanism mechanism 晶圆框架输送盒 晶圆加热机构 晶圆群靠拢机构 wafer hoist wafer holder wafer ID 晶圆交接升降装置 晶圆保持器 晶圆识别记号 wafer leveling wafer map wafer mounter 晶圆调平 晶圆图表 晶圆固定机,晶圆上片机 wafer notch chamfering Wafer probe wafer prober machine 晶圆探针 晶圆探测器 晶圆缺口去角取面机 wafer profile recognition wafer rotation error wafer scanner 晶圆外形识别 晶圆对准旋转误差 晶圆扫描机 wafer sheet wafer sheet hot blow wafer shipping box 晶圆黏胶片 对晶圆黏胶片吹热风 晶圆输送盒 wafer stage wafer stage chuck wafer surface inspection 晶圆载物台 晶圆载物吸盘 晶圆表面检查 wafer table wafer tape wafer test/probe test 晶圆固定工作台 晶圆黏胶带 晶圆测试/探针测试 wafer tilt wafer tilting wafer twist 晶圆倾斜 晶圆倾斜转动 晶圆扭转 wafer-to -wafer dose wall angle warp uniformity 墙壁角 翘区,扭曲 晶圆间离子值入之均质性 water jet honing waste fluid separator machine water mark 废液自动分离机 (塑模溢料)残渣喷水清水痕 除机 water polishing Watt Wave equation 水抛光 瓦特 波动方程式 wave guide wave scanner Wave shaping 离子波束引导管,导波管 波形扫描仪 波形形式 wave tracer waveform analyzer waveform digitizer 波形追踪器 波形分析仪 波形数字转换器 waveform measurement waveform pattern waveform synthesizer function editor 波形合成器 波形测试功能 波形图案编辑器 waveguide waxless polishing waxless polishing/non wax polishing 波导 非胶接抛光 不需胶住之晶圆研磨 wedge bonder wedge bonding wedged tool 楔形接合机 楔形接合,楔形压接 楔形压接工具 Weibull plot Wein bridge oscillator wet cleaning equipment 威伯尔图表 韦氏电桥振荡器 湿式洗涤装置 wet etching wet etching system wet powder honing machine 湿蚀刻 湿式蚀刻系统 湿式溢料残渣研磨料喷射清除机 wet type resist wet station wettability stripping system 湿式洗涤站 湿润度,湿润性 湿式抗蚀剂剥离系统 Wheatstone bridge wheel guard wheel head 惠斯登电桥 磨轮保障罩 磨轮头 wheel spindle white balance wicking 磨轮轴 白色平衡处理 聚然吸收能量 Wideband amplifier Wilson mirror wire 宽带放大器 威尔逊电流镜 钢线 wire bobbin wire bonding wire clamp 卷线筒 焊接线法 线夹 wire wire curl deformation/wire wire feed 引线变曲,引线卷曲 馈线 sweep 引线变形/引线弯曲 wire feed angle wire loop wire reel 馈线角度 弧状连接线 卷线轴 wire running method wire sagging wire saw 绕线方式 引线垂度 钢线铝 wire tension wire tough Wire Wound Resistor 线张力 引线托触 线绕电阻器 wireless bonding wiring skew WLP Wafer Level Package 无接线接合 布线失真,布线时项差 晶圆级封装 Work Bench work clamp work damage layer defect 重量型工作桌 工件夹 加工层损伤缺陷 work head work holder work holder clamp 工作主轴台 工件固定座 工件固定座夹 work spindle work spindle stock work-plane 工作主轴 磨轮轴旋台 工作面(被照面) Wright etching write error write error allowance 赖特蚀刻 写入错误 写入错误容限 write fail 写入失误 电子电工英文词汇翻译x 时间:2010-06-13 13:17:21 来源:ab126 作者: x-parameters X-ray X-ray aligner X参数 ,射线 x线对准曝光器 X-ray fluorescence X-ray crystallography X-ray diffractometry spectroscopy ,射线结晶学 X光绕射法 X光荧光光学谱 X-ray fluorescene coating X-ray full wafer aligner X-ray inspection equipment thichness gauge x线整片晶圆对准曝光器 x光检验装置 荧光x射线镀测厚仪 X-ray photoelectron spectroscopy X-ray topography X-Window X光光电子光谱学 X线形貌学 X窗口 X-Y axis positioning accuracy X-Y stage/X-Y table XOR, exclusive or X-Y轴定位精确度 纵横移动载物台/纵横移动载互斥析取 物盘 XY coordinator XY坐标仪 电子电工英文词汇翻译y 时间:2010-06-13 13:22:04 来源:ab126 作者: y-parameters YAG laser YC separate Y参数 YAG激光 亮度色纯度分离处理 yield 良率,合格率 电子电工英文词汇翻译z 时间:2010-06-13 13:23:59 来源:ab126 作者: Z axis positioning Z stage Z-θ stage accuracy Z轴向载物台 Z-θ方向载物台 Z轴定位精确度 Zeat potential Zener Breakdown Zener diodes Z-电位 稳压崩溃 稳压二极管 zinc air battery zinc dry battery zinc-carbon cell 锌空气电池 锌干电池 锌碳电池 Zincblende structure Zone count zone melting method 闪锌矿状结构 不同测试领域数 区熔法
/
本文档为【电子电工英语词汇】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索