为了正常的体验网站,请在浏览器设置里面开启Javascript功能!

毕业论文设计-基于AT89C51单片机的银行排队叫号系统设计(可编辑)

2018-01-15 32页 doc 145KB 197阅读

用户头像

is_977556

暂无简介

举报
毕业论文设计-基于AT89C51单片机的银行排队叫号系统设计(可编辑)毕业论文设计-基于AT89C51单片机的银行排队叫号系统设计(可编辑) 本科毕业设计论文 题 目 银行排队叫号系统的设计 学生姓名 陈 福 秀 专业班级 09 电子科学与技术1班 学 号 200931002 院 系 电气工程学院 王继红 讲师 7>2013 年05月18日 郑州科技学院电气工程学院 二?一三年五月 摘 要 排队叫号系统是针对银行工商税务通讯政府机构等部门的大厅工作流程设计的是利用电脑的科学管理客户排队的系统能够很好地解决客户在服务机构办理业务时所遇到的各种排队拥挤和混乱现象为客户办理业务带来莫...
毕业论文设计-基于AT89C51单片机的银行排队叫号系统设计(可编辑)
毕业论文设计-基于AT89C51单片机的银行排队叫号系统设计(可编辑) 本科毕业设计论文 题 目 银行排队叫号系统的设计 学生姓名 陈 福 秀 专业班级 09 电子科学与技术1班 学 号 200931002 院 系 电气工程学院 王继红 讲师 7>2013 年05月18日 郑州科技学院电气工程学院 二?一三年五月 摘 要 排队叫号系统是针对银行工商税务通讯政府机构等部门的大厅工作流程设计的是利用电脑的科学管理客户排队的系统能够很好地解决客户在服务机构办理业务时所遇到的各种排队拥挤和混乱现象为客户办理业务带来莫大的方便和愉悦本课题研究的是一款无人排队的排队叫号系统它主要由系统主从机键盘显示电路蜂鸣器电路等部分构成系统利用AT89C51 单片机进行控制通过串行通信方式传输处理数据通过按键取号在LCD1602 上显示排队的号码以及当前正在等待的人数通过按键叫号在LCD1602 上显示叫到的号码由蜂鸣器发出声音提示客户这种现代化的高科技产品彻底解决了银行工商税务通讯政府机构等部门的服务大厅普遍存在的站立等候服务无序的问题同时免除了令客户不舒服的站立式排队深化完善了服务的质量本系统采用AT89C51 单片机进行控制利用LCD1602 显示蜂鸣器鸣叫提示的人机交互界面模拟排队管理系统科学的处理各种排队情况具有操作简便控制灵活显示清晰制作成本低性价比较高等特点 关键词AT89C51单片机 LCD1602 排队叫号 系统开发 ABSTRACT In this paper design a set of line up your turn system Queue smoke number sequence as the core the system is based on the client using the client staff using your turn end your turn By timely display the current call number timely understanding of line information through the reasonable structure of program to perform the line number In order to improve the line efficiency solve the order queue held after the crowded phenomenon such as before to realize the automatic line standardization Through the use of the system the customer dont need to line up wasting a lot of energy facilitate management order in line at the same time to adapt the request of digitized information age management raises the service level and quality Queuing system is aimed at a bank industrial and commercial taxation telecommunicationsgovernment agencies and other departments of the hall work flow design is the use of computer science and the management of customers queuing system can be very good used to solve customers in the service institution for business encountered by the various queues jam and confused phenomenon for customers for the business has brought great the convenience and pleasureThis research is an unmanned queuing queuing system which is mainly composed of master and slave machine system keyboard display circuit a buzzer circuit componentsThe system uses AT89C51 MCU control serial communication through transmission processing data through the keys and number the LCD1602 display line numbers and the current waiting number through the button called in the LCD1602 display the call number the buzzer sound prompts the customerThe modern high-tech products completely solve the industry and commerce taxation banking telecommunications government agencies and other departments of the service hall universal stand waiting service the disorder problem at the same time from customer uncomfortable standing in line deepen and perfect the quality of serviceThe system uses the AT89C51 microprocessor control using LCD1602 display buzzer prompts the human-computer interaction interface simulation of the queue management system scientific processing a variety of queuing and has the advantages of simple operation flexible control clear display low production cost high cost performance characteristics KeywordsAT89C51 single chip microcomputer LCD1602 line up your turn the system development 目 录 摘 要 I ABSTRACT II 1 绪论 1 11 课题来源 1 12 国内外发展状况 1 13 有线系统与无线系统 3 14 发展前景 4 15 系统的设计目的 4 2 系统的需求 5 3 系统总体设计 7 7 com 要求7 com 方案确立7 32 方案原理 8 33 异步通信 9 34 系统的体系结构 10 35 系统的软件结构 10 36 系统的基本功能和工作原理 11 com 排队系统的基本功能 11 com 工作原理11 37 排队系统工作流程 12 com 数据处理流程12 com 客户工作流程 13 com 工作人员工作流程 com 系统工作流程13 38 主要应用器件及技术原理 14 com 14 com 液晶LCD1602的介绍 16 com 硬件概要设计22 com 软件概要设计23 4 系统硬件设计 25 41 主要电路设计 25 com 主机部分电路25 com 从机部分电路26 42 功能部分电路设计 26 com 单片机最小系统电路26 com 1602 液晶显示电路 28 com 按键部分电路 30 com TXD串行发送和RXD31 5 系统软件设计 32 51 排队系统主从机软件设计 32 com 32 com 系统主机叫号终端的设计 32 52 主要子程序流程图 33 com 蜂鸣器子程序 33 com LCD显示子程序 34 com 窗口消号处理子程序 35 6 系统电路设计 37 61 液晶显示电路 37 62 声音提示电路 37 7 系统 Proteus 仿真实现 37 71 系统的硬件实现 37 72 系统的软件实现 38 73 系统集成与仿真 38 结论 42 致谢 43 参考文献 44 附录A 主机程序清单 46 附录B 从机程序清单 57 附录C 总体设计图 63 1 绪论 11 课题来源 本文基于单片机的排队叫号系统由客户端和主机终端组成有LCD大屏幕显示信息让客户知道自己的队号并有叫号提示音提醒客户方便快捷可以满足日常的服务行业排队叫号所以非常有意义 12 国内外发展状况 等等在我国特别是在我国加入世贸组织之后排队技术也被引进国内并在我国特有的高速经济发展的环境中得到飞速发展普及及应用我国的排队技术产品也由1998-2001年的起步期2001-2003年的发展期到现阶段的高速发展期逐渐生产出适合我国国情排队系统产品客户对排队系统产品的应用也逐渐习惯并乐于接受智能排队系统就是人们现在乐于接受的系统排队技术最早出现在欧美等西方国家开始的排队系统仅限于工作人员的人工呼叫随着现代技术的不断发展特别是计算机技术的应用使排队技术的发展也突飞猛进目前己具备多种功能如乐音提示语音合成呼叫Voice-calling呼叫终端Operation Terminal以及柜台显示Counter Display和综合显示-Main Display为主的各种数码管显示LCD显示LED显示PDP显示等号码发放也由取号机自动打印 Ticket Dispenser 到触摸屏查询取号按键取号特殊识别取号等等排队技术产品的出现彻底改变了以前许多需要长时间排队场所的无序模式也被越来越多的行业所采用以提高管理水平和自身竞争力 智能排队机或称智能排队系统是一种综合运用计算机技术网络技术多媒体技术通讯控制技术的高新技术产品它完全模拟了人群排队过程通过取号进队排队等待叫号服务等功能取代各类服务性窗口传统的由顾客站立排队的方式实现 了计算机系统代替客户进行排队的过程适用于各类窗口服务行业目前在国外已经广泛应用于金融医院电信以及各级政府对外服务窗口等行业如今国际上的排队叫号系统发展主要表现在以下几方面 1虚拟物理呼叫器 选择这两种配置中的任一种均可利用现有的电脑网络线即可省去另外单独布线的烦恼也节约了工程布线费用的开支虚拟呼叫器配置非常适合电脑已联网情况下使用物理呼叫器较适合不需电脑的科室使用根据实际配置情况虚拟和物理呼叫器可在合适的情况下互换 2便于适时调动人员方便管理 3 CPU 网络接口 4排队叫号系统已经开始向功能齐全外观时尚且轻便小巧等特点开始发展 国内市场上虽然目前有一些国外引进的排队系统产品但价格高昂近几年国内服务性行业逐步开始使用国内研制的排队机系统 1基于ARM 采用Philip LPC2134的排队叫号系统中键盘作为人机接口界面是嵌入式系统的关键组成部分液晶显示屏作为输出部分通过采用NXP高性能高集成度高性价比的32位ARM内核处理器LPC2134在管理机部分不用扩展RAM和语音电路在机械部分设计时不用扩展IO接口电路大大降低了设计的复杂性以及系统的设计成本且增强了系统的可移植性 2基于单片机的排队叫号系统系统分为两个模块抽号模块和叫号模块其控制核心均为AT89C51单片机抽号模块采用LCD液晶显示器这样显示数据直观便于 客户理解叫号模块采用数码管显示此模块只需显示当前所叫号数因此采用数码管显示清楚直观客户容易看清当前所叫号数抽号模块输入只需使用一个抽号按键即可便于客户操作叫号模块包括叫号键和清零键工作人员除了可以叫号外还可以随时按清零键复位排队系统 13 有线系统与无线系统 智能排队管理系统目前已渗透到我们生活的各个方面在银行医院的服务大厅中我们已充分享受到它的优越性目前国内智能排队管理系统己发展到无线排队系统 采用计算机技术和无线通信组网通讯等技术实现了更高层次的排队智能化管理由于采用了无线通信组网技术整个系统无须敷设明线仅需接上电源即可使用特别适用于已经装修完毕的营业大厅系统各单元之间即可无线路连接也可局部采用传统网线连接配置十分灵活如想将原有线系统改成无线最多只需增加两个无线模块即可实现无线功能大大降低成本 有线方式与无线方式的排队系统所实现的功能是完全一样的所不同的是有线方式制造成本低但需要事先预埋走线槽或走明线安装工作量大另外有线方式排队机系统任何一个设备出现故障时整个系统都要停下来进行检修和更改采取无线方式最大的特点就是不受环境的影响安装方便快捷减轻施工难度非常便于现场安装调试和售后服务且系统除主机外任何设备出现故障时都可实时在线更换和维修系统稳定性提高彻底解决了线路故障的担忧无线方式与有线方式相比不足是成本稍高常便于现场安装调试和售后服务且系统除主机外任何设备出现故障时都可实时在线更换和维修系统稳定性提高彻底解决了线路故障的担忧无线方式与有线方式相比不足是成本稍高 14 发展前景 随着服务行业的迅猛发展客户对服务质量的要求越来越高智能化的管理系统应用越来越广阔在银行医药车站海关税务等方面智能排队系统应用更加广阔智能化水平显著提高随着智能化的进一步加深服务质量越来越好我们国家的服务行业将得到迅猛的发展同时以智能化为依托客户对服务行业的满意度会越来越高服务行业的服务水平会越来越高像排队拥挤排队无序而致使客户浪费时间以及由此引发的客户与客户客户与服务人员间的不满情绪将随着智能排队系统的开发和广泛应用而得到圆满解决 15 系统的设计目的 银行排队系统是为解决一些银行大厅排队问题而设计的一个很好的叫号系统它的功能设计首先要符合用户的习惯同时操作方便易学易用设计开发银行排队系统目的在于系统能够有效地提高工作人员的工作效率能够使顾客合理的安排等待时间让顾客感到服务的公平公正 2 系统的需求分析 解决银行排队问题可以运用信息技术手段研发银行排队系统通过使用银行排队系统实现智能排队管理改善排队秩序混乱等弊端能很好地解决客户在服务中所遇到的各种排队问题 2系统功能需求 结合银行实际排队问题和银行客户的需求设计研发的银行排队系统主要具备如表2-1所示的系统功能需求 表21 系统功能需求 LED屏幕的显示 排队序列通告和柜台窗口分类 通过LED屏幕利用计算机等硬件实时控制显示排队序列和窗口划分 银行职员控制呼叫客户进行服务 通过计算机等硬件设备控制广播实现排队系统自动叫号 22 非功能性需求 为了银行排队系统更好地解决客户在排队中所遇到的各种现象方便客户办事及银行管理做到公正合理有序对银行排队系统设计了如表2-2的系统非功能性需求 由表22所示我们公司设计的银行排队系统具备良好的安全性可靠性和稳定性保障了银行的各种业务运行对银行排队系统我们选择了使用如表23所示的资源 表22 非功能性需求 由表22所示我们公司设计的银行排队系统具备良好的安全性可靠性和稳定性保障了银行的各种业务运行对银行排队系统我们选择了使用如表23所示的资源23资源使用 资源 硬件资源 软件资源 触摸屏控制器 LED屏幕 广播设备 叫号控制器 触摸屏子系统软件 智能排队子系统软件 LED子系统软件 广播子系统软件 叫号子系统软件 数据库管理系统软件 描述 获取客户信息 显示排队序列 呼叫广播 叫号控制 验证客户信息划分服务类型 根据客户信息智能排队 控制LED屏幕显示 控制广播内容 叫号序列管理 客户信息的和更新 如表23所示对银行排队系统进行了合理配置资源有效发挥系统的排队管理职能提高了银行的服务效率从而解决了银行排队问题 3 系统总体设计 31 方案论证 com 方案要求 在银行电信航空医院等繁忙的公共服务场所营业窗口前大量涌挤的人群与无序的排队一方面严重影响窗口的服务质量与效率以及企业公共形象和业务量另一方面传统柜台服务存在不安全隐患偷盗密码已经不再是个别案例顾客站立排队即辛苦枯燥又浪费时间还存在插队等现象而且多窗口的服务往往让人无所适从经常因排错队而浪费了宝贵的时间顾客盼望只排一个队只接受咚请XXX号顾客到XX号窗口办理和随机出现的客户流进行自动管理顺序呼叫顾客到对应的窗口前办理业务各设备相互独立主机通过RS-485总线与各窗口设备相连 com 方案确立 系统的设计理念基于数据队列原理遵循先来先办的原则智能排队系统的智能体现在该系统对的处理是根据运筹学中的排队论来设计的管理中心查询各个服务台空闲情况根据服务台总数目进行轮询算法以确认下一个取得客户的服务台号 我们采用上位单片机 主机 实现综合管理和控制将语音模块打印机模块等集成在主机内下位从机包括一个主显示屏若干呼叫器和窗口显示屏这些设备相互独立均由单片机控制主机包括语音模块打印机模块LCD显示模块完成号码的打印存储分类并通过RS-485总线与从机通信根据预设程序工作即使增加或减少窗口设备也不需任何设置只要接上就能用基本不需维护呼叫器用于窗口工作人员操作通过按键与主机联系接受主机发送来的命令和数据及向主机发出请求操作简单并有LCD显示屏显示主显示屏为三屏数码管显示安放在大厅处接受主机发来的数据显示最近三条顾客号码信息窗口显示屏接受主机发来的数据显示 当前服务顾客号呼叫器与窗口显示屏一一对应使用时将用于设置窗口号的拨码开关拨到相同位置采用本方案是充分考虑了系统控制的可靠性稳定性和灵活性使安装和操作简便使用直观化简单化在综合以上因素的基础上尽量降低系统的开发成本使其真正具有实际的工程价值和意义 32 方案原理 图31 系统原理框图 本系统是一种集单片机控制串行口通信网络通信语音识别与处理等理论为一体的综合控制系统系统由上位主机和下位从机组成两级控制管理体系采用RS-485总线及串行通信协议实现分布式管理与控制系统由一台主机和若干窗口设备组成各自独立的单片机系统通过RS-485总线组成分布式通信系统可实现按顾客到达的先后次序自动排号及语音提示作为上位机的主机是整个系统的管理和控制核心完成号码的打印存储分类并通过RS-485总线发送到从机同时在LCD上显示和通过音响播放语音信息呼叫器接受主机发送来的命令和数据及向主机送出请求同时在LCD液晶显示屏上显示当前信息主显示屏为三屏数码管显示接受主机发来的数据显示最近三条顾客号码信息窗口显示屏接受主机发来的数据显示当前服务顾客号码所有设备都采用四芯线缆连接其原理框图如图所示 33 异步通信 单片机之间的通信涉及到串行通信串行通信是指将构成字符的每个二进制数据位依据一定的顺序逐位进行传送的通信在串行通信中有异步通信和同步通信两种基本方式考虑到银行叫号系统的传输距离不远传输信息量不是很大决定采用异步通信方式 异步通信异步串行通信了字符数据的传送格式即每个数据以相同的帧 格式传送每一帧信息由起始位数据位和停止位组成如图2所示 在通信线上没有数据传送时处于逻辑1状态当发送设备要发送一个字符数 据时首先发送一个逻辑0信号这个逻辑电平就是起始位当接收设备检测到这个 低电平后就开始准备接收数据位信号当接收设备接收到起始位后紧接着就会接 收数据位在传送过程中数据位从最低位开始传送数据位发送完后可以发送奇偶 校验位奇偶校验位用于有限差错检测通信双方通信时须约定一致的校验方式就 数据传送而言奇偶校验位是冗余位但他表示数据的一种性质在奇偶位或数据位 发送之后发送的是停止位停止位是一个字符数据的结束标志在异步通信中字符 数据以图2的格式一个接一个的发送在发送间隙通信线路总处于逻辑1状态每个 字符数据的传送均以逻辑0状态开始 34 系统的体系结构 银行排队系统的体系结构如图33 图33 系统的体系结构 35 系统的软件结构 图34 系统软件结构图 根据需求分析对系统进行软件结构设计如图34所示 36 系统的基本功能和工作原理 36 本设计主要利用单片机AT89C51芯片和LCD1602液晶显示采用按键来对显示 器和蜂鸣器加以控制来完成一个主从机分别管理排队和叫号的系统其主要功能 是分别利用客户端使用一个从机排队服务机构使用一个主机来管理从机的排队 信息具体功能如下 1 1完成取号由1602液晶显示当前客户在排队中的位置设定最大的排队人数是10人当排队人数超过10时显示排队已满排队 2 2个办理业务的窗口通过按键来完成叫号由1602液晶显示NO come to NO window Please并配有蜂鸣器发出蜂鸣声叮咚加以提示排队是否空或已满空满都在1602液晶上显示相关字样在服务机构端可按键显示剩余排队人数等信息 com 工作原理 图35 系统原理图 本系统选用我们常用单片机芯片AT89C51和1602液晶运用C语言程序设计编写较简单的延时和循环来实现以AT89C51单片机为核心由主从机的按键控制1602液晶显示和蜂鸣器提示音系统采用如图35所示的主要硬件电路运用串行通信原理通过按键给计数器脉冲达到计数1和销号-1的功能并通过1602液晶显示完成取号叫号功能同时叫号按键外接有源蜂鸣器实现提示客户的作用 37 排队系统工作流程 com 数据处理流程 如图36所示排队系统基本流程图以要处理的排队信息为主体由客户在系统从机取号终端上按键后产生的一条记录开始给出了数据处理的整个流程[2] 图36 数据处理流程图 com 客户工作流程 客户到达服务大厅后先到排队系统从机取号终端上按键取号得到自己的服务票号后到相应的休息位置等候语音提示同时关注相关显示屏幕和语音提示的信息当被叫到自己的票号时根据语音提示和显示屏幕的显示信息到相应窗口办 理业务 com 工作人员工作流程 工作人员到达指定窗口后即可看到叫号终端上显示的等待人数待准备好服务客户时按键开始工作每服务完一个客户后就按客户 com 系统工作流程 根据银行排队系统实际需求实现了如图37所示的排队系统工作流程 根据图37所示的银行排队系统工作流程所示客户通过触摸控制器中输入账号密码和选择服务类型银行排队系统通过银行网络进行账户分析验证验证成功后通过网络工具获取客户信息并记录更新随之系统将根据获取的客户信息进行智能排队并将排队序列传输到打印机中通过打印机打印排队序列提供给客户客户持号票在休息区休息等待留意显示屏及语音提示叫号与此同时排队序列信息将传输到LED屏幕进行显示并传输到叫号子系统中当银行职员按键控制系统呼叫下一位客户的时候叫号子系统将控制LED显示和广播呼叫客户进行服务通过这样的银行排队系统工作流程实现银行排队的有效管理 图37银行排队系统工作流程 38 主要应用器件及技术原理 com ?MCS-51单片机的特点 1小巧灵活成本低易于产品化能组装成各种智慧式测控设备及智能仪器仪表 2可靠性好应用范围广单片机芯片本身是按工业测控环境要求设计的抗干扰性强能适应各种恶劣的环境这是其它机种无法比拟的 3易扩展很容易构成各种规模的应用系统控制功能强单片机的逻辑控制功能很强指令系统有各种控制功能指令可以对逻辑功能比较复杂的系统进行控制 4具有通讯功能可以很方便地实现多机和分布式控制形成控制网络和远程控制 正是由于51系列单片机具有以上优点所以成为本次毕业设计所选用的处理器 51系列单片机中的AT89C51单片机是一种带4K字节闪烁可编程可擦除只读存储器FPEROMFlash Programmable and Erasable Read Only Memory的低电压高性能CMOS 8位微处理器俗称单片机单片机的可擦除只读存储器可以反复擦除100次从工作原理上讲单片机就是一种微型计算机是一种程序存储式计算机它是在一块硅片上集成了中央处理器CPU随机内存RAM程序内存ROM或EPROM定时计数器以及各种IO接口也就是集成在一块芯片上的计算机其结构图如下图38所示 图38 MCS-51单片机的结构 主要功能特性 ?与MCS-51兼容 ?4K字节可编程闪烁存储器 ?寿命1000写擦循环 ?数据保留时间10年 ?全静态工作0Hz-24Hz ?三级程序存储器锁定 ?1288位内部RAM ?32可编程IO线 ?两个16位定时器计数器 ?5个中断源 ?可编程串行通道 ?低功耗的闲置和掉电模式 ?片内振荡器和时钟电路 com 液晶LCD1602的介绍 字符型液晶显示器的显示原理用LCD显示一个字符时比较复杂因为一个字符由6x8或8x8点阵组成既要找到和显示屏幕上某几个位置对应的显示RAM区的8个字节还要使每字节的不同位为1其它的为0为1的点亮为0的不亮这样一来就组成某个字符但对于内带字符发生器的控制器来说显示字符就比较简单了可以让控制器工作在文本方式根据在LCD上开始显示的行列号及每行的列数找出显示RAM对应的地址设立游标在此送上该字符对应的代码即可 由于1602以前没学过在此详细介绍一下液晶LCD1602的简介字符型液晶显示模块是一种专门用于显示字母数字符号等点阵式LCD目前常用161162202和402行等的模块下面以长沙太阳人电子有限公司的1602字符型液晶显示器为例介绍其用法一般1602字符型液晶显示器实物如图39所示 图39 LCD1602字符型液晶显示器 ?1602LCD分为带背光和不带背光两种基控制器大部分为HD44780带背光的比不带背光的厚是否带背光在应用中并无差别两者尺寸差别如下图310所示 图310 1602LCD尺寸图 ?1602LCD主要技术参数 显示容量16×2个字符 芯片工作电压4555V 工作电流20mA 50V 模块最佳工作电压50V 字符尺寸295×435 W×H mm 引脚功能说明1602LCD采用标准的14脚无背光或16脚带背光接口各引脚接口说明如表31所示 表31引脚接口说明表 编号 符号 引脚说明 编号 符号 引脚说明 1 VSS 电源地 9 D2 数据 2 VDD 电源正极 10 D3 数据 3 VL 液晶显示偏压 11 D4 数据 4 RS 数据命令选择 12 D5 数据 5 RW 读写选择 13 D6 数据 6 E 使能信号 14 D7 数据 7 D0 数据 15 BLA 背光源正极 8 D1 数据 16 BLK 背光源负极 第1脚VSS为地电源 第2脚VDD接5V正电源 第3脚VL为液晶显示器对比度调整端接正电源时对比度最弱接地时对比度最高对比度过高时会产生鬼影使用时可以通过一个10K的电位器调整对比度 第4脚RS为寄存器选择高电平时选择数据寄存器低电平时选择指令寄存器 第5脚RW为读写信号线高电平时进行读操作低电平时进行写操作当RS和 RW共同为低电平时可以写入指令或者显示地址当RS为低电平RW为高电平时可以读忙信号当RS为高电平RW为低电平时可以写入数据 第6脚E端为使能端当E端由高电平跳变成低电平时液晶模块执行命令 第7,14脚D0,D7为8位双向数据线 第15脚背光源正极 第16脚背光源负极 ?1602LCD的指令说明及时序1602液晶模块内部的控制器共有11条控制指令如表32所示 表32控制命令表 序号 RS RW D7 D6 D5 D4 D3 D2 D1 D0 1 清显示 0 0 0 0 0 0 0 0 0 1 2 光标返回 0 0 0 0 0 0 0 0 1 3 置输入模式 0 0 0 0 0 0 0 1 ID S 4 显示开关控制 0 0 0 0 0 0 1 D C B 5 光标或字符移位 0 0 0 0 0 1 SC RL 6 0 0 0 0 1 DL N F 7 置字符发生存贮器地址 0 0 0 1 字符发生存贮器地址 8 置数据存贮器地址 0 0 1 显示数据存贮器地址 9 读忙标志或地址 0 1 BF 计数器地址 10 写数到CGRAM或DDRAM 1 0 要写的数据内容 11 从CGRAM或DDRAM读数 1 1 读出的数据内容 1602液晶模块的读写操作屏幕和光标的操作都是通过指令编程来实现的 说明1为高电平0为低电平 指令1清01H光标复位到地址00H位置 指令2光标复位光标返回到地址00H 指令3光标和显示模式设置 ID光标移动方向高电平右移低电平左移 S屏幕上所有文字是否左移或者右移高电平表示有效低电平则无效 指令4显示开关控制D控制整体显示的开与关高电平表示开显示低电平表示关显示C控制光标的开与关高电平表示有光标低电平表示无光标B控制光标是否闪烁高电平闪烁低电平不闪烁 指令5光标或显示移位SC高电平时移动显示的文字低电平时移动光标 指令6功能设置命令DL高电平时为4位总线低电平时为8位总线N低电平时为单行显示高电平时双行显示F低电平时显示5x7的点阵字符高电平时显示5x10的点阵字符 指令7字符发生器RAM地址设置 指令8DDRAM地址设置 指令9读忙信号和光标地址BF为忙标志位高电平表示忙此时模块不能接收命令或者数据如果为低电平表示不忙 指令10写数据 指令11读数据 与HD44780相兼容的芯片时序表33如下 表33基本操作时序表 输入 RS LRW HE H 输出 D0D7 状态字 写指令 输入 RS LRW LD0D7 E 高脉冲 输出 无 读数据 输入 RS HRW HE H 输出 D0D7 数据 写数据 输入 RS HRW D0D7 数据E 高脉冲 输出 无 读写操作时序如图311和312所示 图311读操作时序 图312写操作时序 ?1602LCD的RAM地址映射及标准字库表 液晶显示模块是一个慢显示器件所以在执行每条指令之前一定要确认模块的忙标志为低电平表示不忙否则此指令失效要显示字符时要先输入显示字符地址也就是告诉模块在哪里显示字符图3是1602的内部显示地址 图313 1602的内部显示地址 例如第二行第一个字符的地址是40H那么是否直接写入40H就可以将光标定位在第二行第一个字符的位置呢这样不行因为写入显示地址时要求最高D7恒定为高电平1所以实际写入的数据应该是01000000B40H10000000B 80H 11000000B C0H 在对液晶模块的初始化中要先设置其显示模式在液晶模块显示字符时光标是自动右移的无需人工干预每次输入指令前都要判断液晶模块是否处于忙的状态 1602液晶模块内部的字符发生存储器CGROM已经存储了160个不同的点阵字符图形如图3所示这些字符有阿拉伯数字英文字母的大小写常用的符号和日文假名等每一个字符都有一个固定的代码比如大写的英文字母A的代码是01000001B41H显示时模块把地址41H中的点阵字符图形显示出来我们就能看到字母A 图314 字符代码与图形对应图 ?1602LCD的一般初始化复位过程 延时15mS 写指令38H 延时5mS 写指令38H 延时5mS 写指令38H不检测忙信号 以后每次写指令读写数据操作均需要检测忙信号 写指令38H显示模式设置 写指令08H显示关闭 写指令01H显示清屏 写指令06H显示光标移动设置 写指令0CH显示开及光标设置 com 硬件概要设计 本智能排队叫号系统这一课题其具体要求和功能完全模拟人群排队过程通过取号排队等待叫号服务等功能代替人们站队实现机器自动代替人排队的过程在大厅明显处放置一台主从机顾客来到后键从机自动排号并在显示器上显示你在队列中的位置顾客根据显示器上的序号与等待人数可选择在大厅休息处休息或办别的事当某窗口号显示顾客序号同时音响提示音响起时该顾客便可前往相应窗口接受一对一的服务每位窗口工作人员使用蜂鸣器接受主机命令可按键受理下一位序号客户业务每服务完一位顾客后再按键呼叫器上的号码自动跳到下一个序号受理序号同时此号码显示在窗口显示屏上显示并用蜂鸣提示以提示顾客前来接受服务总体设计框图如图5硬件设计总体框图所示 图315硬件设计总体框图 综合以上情况我们采用上位单片机 主机 实现块和AT89C51单片机芯片控制通过按键K 0传送数据到受从机发送来的有1602液晶显示显示蜂鸣器与窗口显示器一一对应使用时将用于设置窗口号的拨码开关拨到相应位置即可用 AT89C51单片机芯片独立按键叫号顾客使用独立按键代表四个窗口营业员控制 端无源蜂鸣器起到发出提示音的作用1602液晶显示信息以及相应的辅助外围电 路部分 com 软件概要设计 本文介绍的排队叫号系统软件设计采用Keil uvision4作为开发平台整 个系统分为主机和从机两大部分 1 主机部分 主机部分又称为叫号服务终端主要实现接收从机数据并处理数据的功能主 要由以下几部分构成 ?串行通信部分本部分的功能是接收从机发送的数据 ?捕获按键信息部分本部分以中断的方式捕获按键信息根据不同的按键来 实现不同的功能 ?液晶显示部分本部分通过给1602液晶显示器写入指令和数据对要实 现的功能进行显示 ?蜂鸣器部分本部分通过给单片机相应接口送入高低电平并加以延时使 其实现发出延时 ?处理数据部分本部分主要接收到的数据进行处理实现叫号消号统计等功 能 ?从机部分 从机部分又称取号终端主要实现客户取号并将获取数据发送至主机主要分 为以下几部分 ?液晶显示部分本部分通过给1602液晶显示器对用户获取的票号信息进 行显示 对于硬件部分和软件部分的具体设计在后面章节会有详细叙述 4 系统硬件设计 41 主要电路设计 com 主机部分电路 如图1所示 图41主机部分 com 从机部分电路 如图42所示从机部分主要实现的功能是客户按键取号通过按键K0依次加1取号并在1602液晶屏上显示客户抽取的票号上限为10人当取号达到上限后通过液晶屏显示The queue is fullplease wait的信息提示队列已满当客户抽取票号后从机会通过串行通信将票号信息发送至主机 图42 从机部分 42 功能部分电路设计 com小系统电路 单片机AT89C51的最小系统电路[3]包括时钟振荡电路复位电路两个部分图43即为AT89C51单片机的最小系统结构图 图43 单片机最小系统 在AT89C51中有一个构成内部震荡器的高增益反向放大器引脚XTAL1和XTAL2分别是该放大器的输入端和输出端这个放大器与作为反馈元件的片外接石英或陶瓷震荡器一起构成自激震荡器震荡电路外接石英晶体 或陶瓷震荡器 及电容C1C2接在放大器的震荡回路中构成并联震荡电路对外接电容C1C2虽然没有非常严格的要求但电容的大小会轻微影响震荡频率的高低震荡工作的稳定性起震的难易程序及温度稳定性如果使用石英晶体推荐使用30pF?10pF而如果使 用陶瓷谐振器建议选择40pF?10pF图4-4即为本系统用到的外部震荡电路 图44 外部震荡电路 RESET脚上保持一定时间的高电平单片机检测到这个电平保持时间大于它要求的时间就会自动复位通常在单片机工作出现混乱或死机时使用手动复位可实现单片机重启它们是单片机进行正常工作所必需的部分RSTVPD 9脚 芯片内部进行初始复位复位后片内存储器的状态如表所示P1P3口输出高电平初始值07H写入堆栈指针SP清0程序计数器PC和其余特殊功能寄存器但始终不影响片内RAM状态只要该引脚保持高电平89C51将循环复位RATVPD从高电平到低电平单片机将从0号单元开始执行程序另外该引脚还具有复用功能只要将VPD接5V备用电源一旦Vcc电位突然降低或断电能保护片内RAM中的信息不丢失恢复电后能正常工作 AT89C81通常采用上电自动复位和开关手动复位我们采用的是手动复位开关如图4手动开关所示手动开关未按下之前电容正极处于待电状态当按键按下去后VCC与GND导通电容放电从而实现放电图45所示即为本系统的复位电路 图45 复位电路 com 1602 在数字测量仪表和各种数字系统中都需要将数字量直观的显示出来一方面供人们直接读取测量和运算的结果另一方面用于监视数字系统的工作情况因此数字显示电路是许多数字设备不可缺少的部分 数字显示电路通常由译码器驱动器和显示器等部分组成如图46显示器原理所示 图46 显示器原理 在本次设计中主要由1602[4]液晶显示器及排阻构成显示电路部分P0口是一个三态双向口除了高低态以外还有一个高阻态如果不接上拉电阻当端口处于高电平1的状态下实际上端口对地对Vcc电阻都是无穷大即本质上是不确定状态因此需要上拉电阻RESPACK-8P2口为一个内部上拉电阻的8位双向IO口P2口缓冲器可接收输出4个TTL门电流当P2口被写1时其管脚被内部上拉电阻拉高且作为输入故不要再加上拉电阻 由1602液晶的引脚功能可知其电路连接如图47所示在排号按键K0操作的 Your No is 并按键依次1当队列为空时会显示Sorrythe queue is empty当队列满时会显示The queue is fullplease wait在排号按键K1K2K3K4操作的时候其显示的是No come to no windowplease按键waiting时显示的是剩余队列人数按键insert时其显示是insert 图47 1602液晶显示部分 com 由与门[5]和开关按键组成由其完成的功能设计硬件原理如图48所示按键接口都主要在P1输入口处按键输入运用按键中断的方式达到队列排号的取号和叫号的功能 在取号操作由从机的K0键实现初始化内部程序使计数从01开始当按键次数小于10时由循环加1当取号等于10时停止排队 叫号主机的按键设计就要复杂一点采用的中断要和与门一起完成4个独立的窗口叫号按键实时的取得输入排在最前的号码当4个窗口中任意一个窗口已经使用了该号码后就进行销号处理此时中断中的号码通过与门进行消号-1和延时的效果另外的功能按键与其设计原理基本一致 图48 按键部分 如图49蜂鸣器部分所示在按键K1K2K3K4操作的时候其蜂鸣器会发出叮咚提示顾客的提示音本设计运用延时程序控制接蜂鸣器的端口输出 com TXD串行发送和RXD串行接收电路 按照通信方式可将数据传输线路分为单工半双工全双工三种通信方式在单工方式下通信线的一端连接发送器另一端连接接收器它们形成单向连接双工方式下运行的两个AT89C51芯片的串行发送和串行接收端口对应连接如图4-10所示 图410 串行通信部分 5 系统软件设计 51 排队系统主从机软件设计 com 取号终端[6]的事件发生概率服从随机按先来先排的公平排队由于只有一台专门的从机来负责可以简单其流程而在逻辑上服从队列的先入先出算法通过单片机的中断K0按键当系统检测到按下时完成取号功能队列的上限是10人当队列中排一人时队列加1直到满队主要的流程如下图5-1 从机流程图所示 图51 从机流程图 com 主机[7]初始化后主机通过单片机的中断按键当系统检测到按下时完成相应功能 1 接收从机发送来的信息若队列为空显示Hello若队列未满则在队列取号按键的时候调用叫号程序实现 is left的字样若队列已满则重复显示11 is left 2 如有窗口应答并命令时运用延时程序控制蜂鸣器的运作4个独立的按键代表4个窗口实现显示叫号两用功能 可以根据统计程序来统计此队列中还有多少人在排队等待中总的流程是从机将最前顾客号码发送到该主机主机接收后可以窗口显示并提示音呼叫统计剩余人数重置排队等功能主要的流程如下图 52主机流程图所示 图52 主机流程图 52 主要子程序流程图 com 蜂鸣器子程序[8]的主要功能是运用延时函数实现不同频率的声音输出程序中主要的结构流程如下图53蜂鸣器子程序所示 图53 蜂鸣器子程序 com LCD LCD显示子程序[]的主要通过控制1602液晶使其对排队系统的各种提示信息进行显示主要包括对1602液晶写指令写数据清屏等部分 程序中主要的结构流程如下图54LCD显示流程图所示 图54 LCD显示流程图 com 利用与门和循环左移的方式实现器消号的功能其主要的流程结构如下图55窗口消号处理子程序所示 图55 窗口消号处理子程序 6 61 液晶显示电路 如下图61P0接LCD1602的8个双向数据线接口P26接1602的数据命令选择端P25接1602的读写选择端P27接1602的使能信号BLABLK分别是1602的背光源的正负极VL是1602对比度调整端本文是通过对RSRW以及E的高低电平进行写指令和写数据操作来控制1602的显示的 图61 液晶显示电路 62 声音提示电路 62所示通过改变端口高低电平来进行发声并对延时进行修改来调试发声频率从而修改发出声音的好坏 图62 声音提示电路 7 系统Proteus仿真实现 71主要利用AT89C51单片机芯片在Proteus仿真平台上绘制原理图其用到的元器件有ANDAND-5AT89C51BUTTONCAPCRYSTALLM016LRESRESPACK-8SOUNER原理图绘制如附录C总体设计图所示 72 原理图绘制完成后是程序的加载和编译[10]本设计在Keil uvision4编译器中来实现程序的编译使用汇编语言或C语言要使用编译器以便把写好的程序编KEIL uVISION是众多单片机应用开发软件中最优秀的软件之一它支持众多不同公司的MCS51架构的芯片甚至ARM它集编辑\编译仿真等于一体它的界面和常用的微软VC的界面相似界面友好易学易用在调试程序软件仿真方面也有很强大的功能 1 程序编译 ?启动KEIL选择Project- New Project在弹出的对话框中输入工程的名字点击保存选择ATMEL公司的AT89C51单片机 ? 点击FILE在打开列表选项中选择新建在打开的窗口内按照设计的要求根据程序流程图编写程序点击保存保存文件类型为以C为后缀的文件文件名为与所建工程名相同在本设计中需要加载两个程序主机子程序和从机子程序输入新建程序 ? 在Source Group 1上点击右键选择Add Files to Group Source Group 1 把所有的源文件加进来 ?点击Project- Build target或者使用快捷键F7编译工程当Build Output窗口中提示c - 0 Error s 0 Warning s 时则程序编译成功此时要生成HEX文 件右击 Target 1选择Options for targettarget 1或者按F7选择Outputz中勾选Create HEX File完成即可在本设计中需要加载两个HEX文件 2 程序加载 在编辑环境中双击AT89C51在弹出的对话框中将编译生成可执行文件1HEX加载进芯片中设单片机的时钟工作频率为12MHZ 73当点击Proteus软件的全速运行按钮时软件提示没有错误并得到如图71所示的仿真图我们可以再Proteus中仿真人们在排队时的几种必要的过程取号叫号蜂鸣显示统计 图71 运行时结果图 1 图72 运行时结果图 K0键中的排队输入号码即是现实中排在队伍中等待的模拟部分在此过程中你的顺序已经在队列中确定此时你可以节省时间从事另外的某些事情最大排队数是10当排队数达到最大时从机部分显示的是The queue is full please waiting这队列已经满了请等一等而主机部分的号码显示为 is left如图73所示 图73 按K0键2次时的结果 3 当某窗口需要叫号时可以按K1K2K3K4键代表不同的独立窗口并有提示音即相当于模拟人排在队伍中等待窗口内的呼叫由按键中断方式实现LCD数码显示功能由延时程序控制蜂鸣器的提示音达到双重保险效果看显示器的No排队号码come to NowindowPlease请几号到几号窗口来同时有加以提示客户这样确保不会因不留意二错过机会如图74所示 图74按K1键2次时的结果 当你在窗口前想要了解你所在的队伍中还剩余多少人要办理业务时即在人们排队时焦急等待的在计算何时轮到自己时的现象可按waiting键运用窗口消号处理和键盘中断来处理此项功能即为显示还有多少人在排队等待中其LCD数码显示为共办理了几人业务如图5所示为排队6人1号窗口已经办理了前2人的业务按waiting键时LCD显示的是04 is left剩余4人图75按waiting键的结果 图75按键waiting的结果 结论 基于单片机的银行叫号系统的设计的目的是为了解决客户的排队问题适用于需要进行排队管理的公共服务场所从而改善工作环境和服务质量能够为顾客提供方便便捷的服务舒适的等候环境节约顾客的时间对维护社会公共服务场所的治安秩序提高全民的文明素养有积极意义 本设计论文系统描述了应用ATMEL公司的AT89C51单片机及其外围电路实现排队叫号系统通过这次的毕业设计使我对单片机有了更深的认识从理论和实践上都得到了很大的提高所以这次任务的完成我学到了很多东西丰富了自己的知识面学到了以前没能学通的东西具体了解了怎样去完成一个电路的设计从流程图硬件电路图软件设计到编译仿真一整套东西本文讨论了排队按键模块语音提示呼叫模块显示模块等主要功能模块中重点和难点是实现排队的1程序叫号按键-1程序与相应的显示和按键中断设计从基本要实现的方案制定再到硬件电路的选择到制作原理电路最后进行程序调试的4个阶段的设计在此期间我遇到很多困难开始的时候在电子仿真方面总是不能实现理想的结果后来找到原因是有的编程不是太正确是那种特别小的疏忽像标点符号之类的在一次一次的失败尝试中迫使我不得不更加努力的学习深入的内容从毕业设计中学到了单片机AT89C51的内部结构及其工作原理了解了时钟电路和控制电路的工作原理还有数码管的工作原理巩固了C语言的使用能力提高了自己动手仿真的能力学到了很多经验并且提高了自己分析问题的能力和创新能力得到了理论联系实际的机会做出了成果使自己在硬件设计方面树立了信心为以后从事这方面的工作打好了基础这也是这次毕业设计的最大收获 四年来的的本科生活伴随着论文的完成也要结束了时间终是短暂但是这四 年大学的时间里却经历了重要的人生蜕变做学问总是枯燥和寂寞的而生活本身又是那么丰富多彩在这个忙碌而火热的行业中我找到了合理时间能够静静的思考我的发展方向以及我未来的事业 首先感谢我的指导老师王继红对我的鼓励和帮助使我能够顺利地完成论文无论为人处事还是学习从她的身上我学到了好多宝贵的经验让我在人生的道路上更加自信这些将使我受益终生 感谢各位师的辛勤培育将电子科学与技术这个全新的领域引入我的大学生活在人生发展的最重要的阶段为我指明了方向感谢学院的其他老师您们从生活和教学中流露出的言行您们的学识和修养助动手能力的培养更加启发了我的学习爱好和兴趣 电子科学与技术专业的同学们谢谢你们的帮助在无数个难熬的日日夜夜我们给了彼此很多鼓励当我想要放松自己的时候看到你们就不知不觉的又有了学习的劲头也要在这里感谢你们陪伴我度过了这些时光感谢学院给我们专业提供的在电子阅览室我利用这里的资源对本专业学习有了一定的提高在论文的撰写和资料搜集期间前人的资料对我提供了莫大的帮助这里再次感谢 最后感谢我的家人你们的支持才使我能够安枕无忧地顺利完成学业祝愿所有帮助过我的人幸福平安 [1] 康万新毕业设计指导及案例剖析应用电子技术方向[M]北京清华大学出版社20071028 [2] 杨连国医院智能排队叫号系统的设计与实现[D]南京东南大学200659 [3] 胡汉才单片机原理及其接口技术[M]北京清华大学出版社2004107 [4] 郭天祥新概念51单片机C语言教程[M]北京电子工业出版社200968 [5] 阎石数字电子技术[M]北京高等教育出版社199847 [6] 滕丽丽基于单片机的银行排队系统的设计[J]信息科技201011 2 246247 [7] [J]湖北第二师苑学院学报200926 8 9495 [8] 彭伟单片机C语言程序设计实训100例基于8051Proteus 仿真[M]北京电子工业出版社200940 [9] 郑锋王巧芝陈绘兵等51单片机应用系统典型模块开发大全[M]北京中国铁道出版社201066 [10] 张靖武周灵彬单片机系统的PROTEUS设计与仿真[M]北京电子工业出版社200788 [11] 唐海波于宏图李继平单片机在服务叫号管理系统中的应用《微型机与应用》2002年第5期2290 [12] 徐安陈耀李玲玲白英彩(单片机原理与应用(第1版(北京北京希望电子出版社20033339 [13] 曹汉天 单片机原理与接口技术第1版 北京电子工业出版社20033763 [14] 杨彦王霖张凤荔刘丽萍 基于RS485和单片机的排队机控制系统设计 中文核刊《微计算机信息》 测控自动化 2008年第24卷第1187 [15] 欧志旋 一种基于单片机开发的排队叫号系统设计计算机光盘软件与应用2010年第6期2669 [16] 贾转红朱清林PC机与多台单片机实时通讯系统的设计与实现[J]微计com 2 143145 [17] 范文宇苑辉 基于排队论的银行客户服务系统问题的研究价值程 2005 年第12期3969 [18] 熊庆旭(输入排队结构交换机分组调度研究[J](通信学报200526 6 118124 [19] 戴冬雪南立军ISD4003在录音播放电路中的应用及其软件设计 电声技术2002014041 [20] 高峰(单片微型计算机原理与接口技术(第1版(北京科学出版社20032123 [21] 楼然苗李光飞(51系列单片机实例(第l版(北京北京航空航天大学出版社 20033
/
本文档为【毕业论文设计-基于AT89C51单片机的银行排队叫号系统设计(可编辑)】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索