基于黑金EP4CE15F17C8流水灯实验module shift_led(
input wire clk, //时钟信号50MHz
input wire rst_n, //复位信号低电平有效
output wire [3:0]led //4个LED灯,高电平的时候点亮
);
//--------------------------------------------
parameter cnt_400ms = (25'd20000_000 - 1);
reg [24:0]cnt;
reg cnt_400...
module shift_led(
input wire clk, //时钟信号50MHz
input wire rst_n, //复位信号低电平有效
output wire [3:0]led //4个LED灯,高电平的时候点亮
);
//--------------------------------------------
parameter cnt_400ms = (25'd20000_000 - 1);
reg [24:0]cnt;
reg cnt_400ms_flag;
reg [3:0]led_r;
wire shift_flag;
always @(posedge clk or negedge rst_n)
begin
if(!rst_n)
cnt <= 'd0;
else if(cnt_400ms_flag == 1'b1)
cnt <= 'd0;
else
cnt <= cnt + 1'b1;
end
always @(posedge clk or negedge rst_n)
begin
if(!rst_n)
cnt_400ms_flag <= 1'b0;
else if(cnt == (cnt_400ms - 1))
cnt_400ms_flag <= 1'b1; //每400ms拉高cnt_400ms_flag一个时钟周期高电平
else
cnt_400ms_flag <= 1'b0;
end
assign shift_flag = cnt_400ms_flag;
always @(posedge clk or negedge rst_n)
begin
if(!rst_n)
led_r <= 4'b0001;
else if(shift_flag == 1'b1)
led_r <= {led_r[2:0],led_r[3]};
end
assign led = led_r;
endmodule
本文档为【基于黑金EP4CE15F17C8流水灯实验】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑,
图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。