为了正常的体验网站,请在浏览器设置里面开启Javascript功能!

干手器的设计

2017-11-20 39页 doc 241KB 36阅读

用户头像

is_594905

暂无简介

举报
干手器的设计干手器的设计 编号: 毕业设计(论文)说明书 题 目: 自动干手器的设计与实现 院 (系): 信息与通信学院 专 业: 电子信息工程 学生姓名: 学 号: 指导教师: 职 称: 副教授 题目类型: 理论研究 实验研究 ? 工程设计 工程技术研究 软件开发 2011年 5 月 20日 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 摘 要 近年来,随着人们生活水平的日益提高,人们对卫生问题的关注越来越高。人们常用洗手来控制细菌的传播,但很多人往往忽略了洗完手后使手变干的步骤,从而导...
干手器的设计
干手器的设计 编号: 毕业设计(论文)说明书 题 目: 自动干手器的设计与实现 院 (系): 信息与通信学院 专 业: 电子信息工程 学生姓名: 学 号: 指导教师: 职 称: 副教授 题目类型: 理论研究 实验研究 ? 工程设计 工程技术研究 软件开发 2011年 5 月 20日 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 摘 要 近年来,随着人们生活水平的日益提高,人们对卫生问题的关注越来越高。人们常用洗手来控制细菌的传播,但很多人往往忽略了洗完手后使手变干的步骤,从而导致洗手效果的减弱,因此我们有需要注意洗手后干手的环节。而自动干手器不仅能够干手,还具有卫生、人性化的特点,因而得到大众的喜爱。 自动干手器是一种高档的卫生洁具,其可以取代毛巾、纸巾,对手部进行干燥。具有节能、环保、人性化的优点,被广泛应用于宾馆酒店、机场车站、体育场馆等公共场所的洗手间。现在市场上购买的自动干手器的控制电路大多使用555时基电路和数字集成电路。由于采用电阻,电容等分立元件较多。电磁干扰较强。工作不稳定,人性化方面不够完善。本设计采用52单片机进行电路控制,克服了以上缺点。用52单片机和红外感应设计的干手器具有能够自动感应人手的伸进和离开,通过硬件可以加减每次工作时间,可以用数码管显示干手器设定的时间并在干手机工作时显示此次工作倒计时时间,还能使用选择开关选择输出冷风或热风,分别满足不同温度天气的使用。 该设计在上有设计的可行性,也具有一定的市场前景。对自己而言,该课题的研究可以把大学所学的专业知识应用到实际的电路设计中去,使自己的专业知识得到进一步的巩固。 关键词:单片机;红外感应;干手器 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 Abstract In recent years, with the increasing living standard, people concerned about the increasing health problems. Wash hands often used to control the spread of germs, but many people tend to ignore the hand after hand washing drying steps, resulting in the weakening effect of hand washing, so we need to pay attention to dry hands after washing hands part. The automatic hand dryer to dry hands only, but also with health, human characteristics, and therefore loved by the public. Automatic hand dryer is a high-end sanitary ware, which can replace the towels, paper towels, opponents of the drying. Energy saving, environmental protection, human, it is widely used in hotels, airport stations, sports stadiums and other public places toilets. Now on the market to buy the automatic hand dryers are mostly used to control circuit 555 circuit and digital integrated circuits. As a result of resistors, capacitors and other discrete components more. Strong electromagnetic interference. Job insecurity, human aspects is inadequate. The design uses a microcontroller to control circuit 52, to overcome the above disadvantages. 52 microcontroller and infrared sensors with the design of dry hands with the ability to automatically sense and manpower into his leave, working through the hardware can add and subtract each time) digital display can be used to set the time for dry hands and work in the dryer The work is displayed when the countdown time, select the output selector switch can use cold or hot, the weather at different temperatures were used to meet. This design in the scheme design of feasibility, also has certain market prospect. For myself, this topic research can put a college major knowledge applied to practical circuit design, make myself of the professional knowledge to further consolidate. Key words: MCU; Infrared sensing; Airing implement 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 目 录 引言……………………………………………………………………………1 1 绪论………………………………………………………………………1 1.1 课题背景……………………………………………………………………1 1.2 课题研究的目的和意义………………………………………………………1 1.3 课题研究内容…………………………………………………………………1 2 方案论证………………………………………………………………2 2.1 方案一……………………………………………………………………2 2.2 方案二……………………………………………………………………2 2.3 系统方案对比论证………………………………………………………2 3 硬件电路……………………………………………………………………2 3.1 硬件电路设计原理 …………………………………………………………2 3.2硬件设计的系统框图…………………………………………………………3 3.3 硬件电路的芯片介绍…………………………………………………………3 3.3.1 单片机AT89S52介绍………………………………………………………6 3.3.2 红外对管…………………………………………………………………6 3.3.3 数码管……………………………………………………………………7 3.3.4 继电器 ……………………………………………………………………7 3.4自动干手器的基本组成………………………………………………………7 3.4.1 晶振电路………………………………………………………………7 3.4.2 复位电路……………………………………………………………10 3.4.3 数码管显示电路………………………………………………………12 3.4.4 继电器电路……………………………………………………………11 3.4.5 红外感应电路…………………………………………………………13 3.4.6 电吹风电路……………………………………………………………13 4 软件设计…………………………………………………………………15 4.1 软件设计介绍………………………………………………………………15 4.2 主程序的设计………………………………………………………………16 4.3 定时中断程序的设计………………………………………………………18 4.3.1 实现功能…………………………………………………………………6 4.3.2 中断图…………………………………………………………………6 4.3.3 关键技术…………………………………………………………………6 5 系统制作及调试…………………………………………………………20 5.1 系统制作……………………………………………………………………20 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 5.2 电路板的制作………………………………………………………………20 5.3 硬件调试……………………………………………………………………20 5.4 软件调试……………………………………………………………………20 5.5 整机调试……………………………………………………………………20 6 结论………………………………………………………………………23 谢辞……………………………………………………………………………24 参考文献………………………………………………………………………25 附录 ………………………………………………………………………26 附录一 ………………………………………………………………………26 附录二 ………………………………………………………………………26 附录三 ………………………………………………………………………27 附录四 ………………………………………………………………………27 附录五 ………………………………………………………………………27 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 引言 自动干手器是一种高档卫生洁具,广泛应用于学校、机场车站、宾馆酒店、体育场等公共场所的洗手间。当洗手后,将双手伸在自动干手器的出风口下,自动干手器会自动送出舒适的冷风或者热风,迅速使你的双手去湿吹干,而当你把手一离开自动干手器的吹风口时它又自动停风关机,可达到不要毛巾擦干手上水分和防止疾病交叉感染的要求。市场上的自动干手器的控制电路大多使用555时基电路和数字集成电路。由于采用电阻,电容等分立元件较多。电磁干扰较强。工作不稳定。本设计采用单片机进行电路控制,克服了以上缺点。而且用单片机和红外感应设计的干手器还具有实现烘手时间可调、能够显示干手器的倒计时间等具有人性化的功能。 1 绪论 1.1 课题背景 近年来,随着人们生活水平的日益提高,人们对卫生的关注越来越高。人们常用洗手来控制细菌传播,但很多人往往忽略了洗完手后使手变干的步骤,因此导致洗手效果的减弱。英国的一项新研究显示,选择让手变干的正确方法有利于防止手上残留细菌传播与繁殖。英国布拉德福德大学等机构的研究人员在新一期《运用微生物杂志》上申报说,洗手是有效去除细菌的方法,但还不能去除所有的细菌,如果随后不使用正确的方法让手变干,残留的细菌会在湿润的环境中继续生长扩散。因此,让手变干的方法正确与否也对手上细菌的控制起着至关重要的作用。调查显示,在运用纸巾、借助各类“干手器”等方法中,运用干手器最能防止细菌的扩散。因此,研究符合市场需求的干手器对提高人们的生活质量有一定积极的意义。 1.2 课题研究的目的和意义 我们都知道,卫生和环保是21世纪人们最关心的话题之一。设计符合市场需要的环保节能产品对提高人民的生活质量有着积极意义,为了使人们洗手之后能够有效地防止细菌的再次扩散,我们迫切的需要具有人性化与智能化的东西来干手,让我们在使用时候能保持愉快的心情。 1.3 课题研究内容 本次设计以单片机为核心,用红外感应原理来检测人体信号。当单片机检测到人体信号后。通过单片机来控制数码管显示倒计时间,并控制继电器闭合来控制电吹风的开关来实现干手器的设计。设计的自动干手器能完成以下功能:(1)用单片机设计自动干手机,能自动识别人手的伸进与离开,在人手伸进干手可工作范围内,干手机工作,手离开则立刻停止工作。(2)一次工作设定时间为15秒。此时间可以通过硬件改变,最大30秒。(3)用数码管显示干手机设定的时间并在干手机工作时显示此次工作倒计时时间,当不工作时不显示任何时间。(4)使用选择开关选择输出冷风或热风,分别满足不同温度天气的使用。 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 2 方案论证 2.1 方案一: 采用如图2.1的电路框图,红外感应模块用红外对管来实现。由单片机产生4000HZ的方波信号,驱动红外发射管向下发射红外线脉冲。当有手伸到干手器下面时,发射的红外线脉冲被反射回来,由红外接收管接收并转换为电信号,电信号经运放进行信号放大后得到的方波信号送至单片机P3^4端口,从而实现对人体信号的采集。当单片机检测到人体信号后,通过软件来控制数码管显示倒计时间,并控制继电器闭合来控制电吹风的开关来实现干手器的设计。 用红外对管来 检测物体信号 数码管显示 AT89S52 通过控制继电 器闭合来控制时间控制按键 电吹风开关 图2.1 方案一电路框图 2.2 方案二 采用如图2.2的电路图,红外感应模块用热释电传感器处理芯片BIS0001和人体热释探头来实现,当有行人进入热释电红外传感器(PIR)的探测区内时,PIR便将检测到的人体辐射出的红外信号转换为低频(0.5,9Hz)电信号,加至BISS0001的运算放大器的输入端(14脚),经放大、滤波和信息处理后,在其输出端(2脚)输出有一定延时的高电平控制信号。将得到的高电平信号输入单片机端口,也可以实现对人体信号的采集。当单片机检测到人体信号后,通过软件来控制数码管显示倒计时间,并控制继电器闭合来控制电吹风的开关来实现干手器的设计。 用人体热释探 头来检测人体数码管显示 信号 AT89S52 通过控制继电 时间控制按键 器闭合来控制 电吹风开关 图2.2 方案二电路框图 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 2.3 系统方案对比论证 从以上的两个方案中,很容易看出,方案一电路简单,系统稳定性好,符合设计的要求。方案二电路复杂,输出的信号有延时,而且感应人体信号时并不是很稳定。所以,综合考虑选择方案一,这样设计的自动干手器具有成本低、稳定性好的特点。 3 硬件电路 3.1 硬件电路的设计原理 硬件电路主要由晶振电路、复位电路、数码管显示电路、继电器电路、红外感应电路和电吹风电路组成。本设计采用AT89S52单片机完成整个系统的控制流程。,红外感应模块用红外对管来实现人体信号的采集。由单片机产生4000HZ的方波信号,驱动红外发射管向下发射红外线脉冲。当有手伸到干手器下面时,发射的红外线脉冲被反射回来,由红外接收管接收并转换为电信号,得到的电信号经过运放进行信号放大后得到的方波信号送至单片机P3^4端口,从而实现对人体信号的采集。当单片机检测到人体信号后,通过软件来控制数码管来显示倒计时间,并控制继电器闭合来控制电吹风的开关来实现干手器的设计。 3.2 硬件设计的系统框图 系统的主要设计框图如图3.1所示。 用红外对管来 检测人体信号 数码管显示 AT89S52 通过控制继电 器闭合来控制时间控制按键 电吹风开关 图3.1硬件设计的系统框图 3.3 硬件电路的芯片介绍 3.3.1 单片机AT89S52介绍 单片计算机即单片机,其全称为单片微型计算机(Single—Chip Microcomputer)。由于单片机原来就是为了实时控制应用而设计制造的,因此,亦称为微控制器(Microcontroller),就是将CPU、RAM、ROM、定时/记数器和各种输入/输出(I/O)接口(如并行I/O口、串行I/O口和A/D转换器)等多种接口电路都集成到一块集成电路芯片上的微型计算机。 本电路是用单片机来实现的,单片机种类繁多,不过又以MCS-52系列的单片机使用最广泛。而且本设计的主要功能和52系列的单片机用途很合适,所以我们选用ATMEL公司的52系列芯片。 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 AT89S52具有下列主要性能: 140P1.0VCC239P1.1P0.0(AD0)?8KB可改编程序Flash存储 338P1.2P0.1(AD1)437P1.3P0.2(AD2)?全静态工作:0Hz,24MHz 536P1.4P0.3(AD3)635P1.5P0.4(AD4)734?三级程序存储器保密 P1.6P0.5(AD5)833P1.7P0.6(AD6)932?128×8字节内部RAM RSTP0.7(AD7)1031P3.0(RXD)EA/VPP1130?32条可编程I/O线 P3.1(TXD)ALE/PROG1229P3.2(INT0)PSEN1328?2个16位定时器/计数器 P3.3(INT1)P2.7(A15)1427P3.4(T0)P2.6(A14)1526?6个中断源 P3.5(T1)P2.5(A13)1625P3.6(WR)P2.4(A12)1724?可编程串行通道 P3.7(RD)P2.3(A11)1823XTAL2P2.2(A10)1922?片内时钟振荡器 XTAL1P2.1(A9)2021GNDP2.0(A8)图3.2 AT89S52的管脚 AT89S52的引脚及功能 AT89S52单片机的管脚说明如图3.2所示。 (1) 主要电源引脚 ?VCC 电源端 ?GND 接地端 (2) 外接晶体引脚XTAL1和XTAL2 ?XTAL1 接外部晶体的一个引脚。在单片机内部,它是构成片内振荡器的反相放大器的输入端。当采用外部振荡器时,该引脚接收振荡器的信号,既把此信号直接接到内部时钟发生器的输入端。 ?XTAL2 接外部晶体的另一个引脚。在单片机内部,它是上述振荡器的反相放大器的输出端。采用外部振荡器时,此引脚应悬浮不连接。 (3) 控制或与其它电源复用引脚RST、ALE//PROG、/PSEN和/EA/VPP ?RST 复位输入端。 当振荡器运行时,在该引脚上出现两个机器周期的高电平将使单片机复位。 ?ALE//PROG 当访问外部存储器时,ALE(地址锁存允许)的输出用于锁存地址的低位字节。即使不访问外部存储器,ALE端仍以不变的频率(此频率为振荡器频率的1/6)周期性地出现正脉冲信号。因此,它可用作对外输出的时钟,或用于定时目的。然而要注意的是:每当访问外部数据存储器时,将跳过一个ALE脉冲。在对Flash存储器编程 [6]期间,该引脚还用于输入编程脉冲(/PROG)。 ?/PSEN 程序存储允许(/PSEN)输出是外部程序存储器的读选通信号。当AT89S52/LV52由外部程序存储器取指令(或常数)时,每个机器周期两次/PSEN有效(既输出2个脉冲)。但在此期间内,每当访问外部数据存储器时,这两次有效的/PSEN信号将不出现。 ?/EA/VPP 外部访问允许端。要使CPU只访问外部程序存储器(地址为0000H,FFFFH),则/EA端必须保持低电平(接到GND端)。当/EA端保持高电平(接VSS端)时, 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 CPU则执行内部程序存储器中的程序。 (4) 输入/输出引脚 P0.0, P0.7、P1.0,P1.7、P2.0, P2.7 和P3.0,P3.7 ?P0端口(P0.0, P0.7) P0是一个8位漏极开路型双向I/O端口。作为输出口用时,每位能以吸收电流的方式驱动8个TTL输入,对端口写1时,又可作高阻抗输入端用。 ?P1端口(P1.0, P1.7) P1是一个带有内部上拉电阻的8位双向I/O端口。P1的输出缓冲器可驱动(吸收或输出电流方式)4个TTL输入。对端口写1时,通过内部的上拉电阻把端口拉到高电位,这时可用作输入口。作输入口时,因为有内部的上拉电阻,那些被外部信号拉低的引脚会输出一个电流。 ?P2端口 (P2.0,P2.7) P2是一个带有内部上拉电阻的8位双向I/O端口。P2的输出缓冲器可驱动(吸收或输出电流方式)4个TTL输入。对端口写1时,通过内部的上拉电阻把端口拉到高电位,这时可用作输入口。P2作输入口使用时,因为有内部的上拉电阻,那些被外部信号拉低的引脚会输出一个电流。 ?P3端口(P3.0,P3.7) P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流,这是由于上拉的缘故。 [7]P3口也可作为AT89S52的一些特殊功能,这些特殊功能见3-1。 表3-1 P3端口的特殊功能 端口引脚 兼 用 功 能 P3.0 RXD (串行输入口) P3.1 TXD (串行输出口) P3.2 /INT0 (外部中断0) P3.3 /INT1 (外部中断1) P3.4 T0 ( 定时器0的外部输入) P3.5 T1 (定时器1的外部输入) P3.6 /WR (外部数据存储器写选通) P3.7 /RD (外部数据存储器读选通) 3.3.2 红外对管 红外对管是红外线发射管与红外接收管配合在一起使用时候的总称。红外线发射管(如图3.3)在LED封装行业中主要有三个常用的波段,如下850NM、875NM、940NM。根据波长的特性运用的产品也有很大的差异,850NM波长的主要用于红外线监控设备、875NM主要用于医疗设备、940NM波段的主要用于红外线控制设备。例如:红外线遥控器、光电开关、光电记数设备等。红外线接收管(如图3.4)是将 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 红外线光信号变成电信号的半导体器件,它的核心部件是一个特殊材料的PN结,和普通二极管相比,在结构上采取了大的改变,红外线接收管为了更多更大面积的接受入射光线,PN结面积尽量做的比较大,电极面积尽量减小,而且PN结的结深很浅,一般小于1微米。红外线接收二极管是在反向电压作用之下工作的。没有光照时,反向电流很小(一般小于0.1微安),称为暗电流。当有红外线光照时,携带能量的红外线光子进入PN结后,把能量传给共价键上的束缚电子,使部分电子挣脱共价键,从而产生电子---空穴对(简称:光生载流子)。它们在反向电压作用下参加漂移运动,使反向电流明显变大,光的强度越大,反向电流也越大。这种特性称为“光电导”。红外线接收二极管在一般照度的光线照射下,所产生的电流叫光电流。如果在外电路上接上负载,负载上就获得了电信号,而且这个电信号随着光的变化而相应变化。红外接收管的作用是进行光电转换,在光控、红外线遥控、光探测、光纤通信、光电耦合等方面有广泛的应用。 图3.3 红外线发射管 图3.4红外线接收管 3.3.3 数码管 数码管(如图3.5)是一种半导体发光器件,其基本单元是发光二极管。数码管按段数分为七段数码管和八段数码管,八段数码管比七段数码管多一个发光二极管单元(多一个小数点显示);按能显示多少个“8”可分为1位、2位、4位等等数码管;按发光二极管单元连接方式分为共阳极数码管和共阴极数码管。共阳数码管(如图3.6)是指将所有发光二极管的阳极接到一起形成公共阳极(COM)的数码管。共阳数码管在应用时应将公共极COM接到+5V,当某一字段发光二极管的阴极为低电平时,相应字段就点亮。当某一字段的阴极为高电平时,相应字段就不亮。。共阴数码管是指将所有发光二极管的阴极接到一起形成公共阴极(COM)的数码管。共阴数码管在应用时应将公共极COM接到地线GND上,当某一字段发光二极管的阳极为高电平时,相应字段就点亮。当某一字段的阳极为低电平时,相应字段就不亮。本设计需要用到数码管的动态显示方式。数码管动态显示接口是单片机中应用最为广泛的一种显示方式之一,动态驱动是将所有数码管的8个显示笔划"a,b,c,d,e,f,g,dp"的同名端连在一起,另外为每个数码管的公共极COM增加位选通控制电路,位选通由各自独立的I/O线控制,当单片机输出字形码时,所有数码管都接收到相同的字形码,但究竟是那个数码管会显示出字形,取决于单片机对位选通COM端电路的控制,所以我们只要将需 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 要显示的数码管的选通控制打开,该位就显示出字形,没有选通的数码管就不会亮。通过分时轮流控制各个数码管的的COM端,就使各个数码管轮流受控显示,这就是动态驱动。在轮流显示过程中,每位数码管的点亮时间为1,2ms,由于人的视觉暂留现象及发光二极管的余辉效应,尽管实际上各位数码管并非同时点亮,但只要扫描的速度足够快,给人的印象就是一组稳定的显示数据,不会有闪烁感,动态显示的效果和静态显示是一样的,能够节省大量的I/O端口,而且功耗更低. 图3.5数码管实物图 图3.6数码管原理图 3.3.4继电器 继电器是一种电子控制器件,如图3.7所示。它具有控制系统(又称输入回路)和被控制系统(又称输出回路),通常应用于自动控制电路中,它实际上是用较小的电流去控制较大电流的一种“自动开关”。故在电路中起着自动调节、安全保护、转换电路等作用。 图3.7继电器原理图 电磁式继电器一般由铁芯、线圈、衔铁、触点簧片等组成的。只要在线圈两端加上一定的电压,线圈中就会流过一定的电流,从而产生电磁效应,衔铁就会在电磁力吸引的作用下克服返回弹簧的拉力吸向铁芯,从而带动衔铁的动触点与静触点(常开触点)吸合。当线圈断电后,电磁的吸力也随之消失,衔铁就会在弹簧的反作用力返回原来的位置,使动触点与原来的静触点(常闭触点)释放。这样吸合、释放,从而达到了在电 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 路中的导通、切断的目的。对于继电器的“常开、常闭”触点,可以这样来区分:继电器线圈未通电时处于断开状态的静触点,称为“常开触点”;处于接通状态的静触点称为“常闭触点”。 3.4 自动干手器的基本组成 3.4.1 晶振电路 晶振振荡器,在单片机系统里晶振的作用非常大,它结合单片机内部的电路,产生单片机所必须的时钟频率,单片机的一切指令的执行都是建立在这个基础上的,晶振提供的时钟频率越高,那单片机的运行速度也就越快。晶振的作用是为系统提供基本的时钟信号。通常一个系统共用一个晶振,便于各部分保持同步。有些通讯系统的基频和射频使用不同的晶振,而通过电子调整频率的方法保持同步。电路图如图3.8所示。 图3.8晶振原理图 3.4.2 复位电路 复位操作完成单片机片内电路的初始化,使单片机从一种确定的状态开始运行。当AT89S52单片机的复位引脚RST出现5ms以上的高电平时,单片机就完成了复位操作。如果RST持续为高电平,则单片机就处于循环复位状态而违法执行程序。因此要求复位后能够脱离复位状态。 根据这个要求,这里采用的是电平按钮复位方式。上电后,由于电容充电,使RST持续一段高电平时间。当单片机已在运行之中时,按下复位键也能使RST持续一段时间的高电平,从而实现上电且开关复位的操作。通常选择电容值10,30μF,电阻值10kΩ。电路图如图3.9所示。 图3.9复位电路图 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 3.4.3 数码管显示电路 本设计用2位共阳数码管,把7段数码管按顺序接到单片机的P0端口,而数码管的位选接到P2.0和P2.1端口。当单片机检测到信号输入时,数码管将显示干手器的工作时间并进行倒计时。电路如图3.10所示 图3.10 数码管显示电路图 3.4.4 继电器电路 当单片机检测到信号输入时,单片机输出一个高电平使得继电器中的线圈两端得到一个电压,线圈中就会流过一定的电流,从而产生电磁效应,衔铁就会在电磁力吸引的作用下克服返回弹簧的拉力吸向铁芯,从而带动衔铁的动触点与静触点(常开触点)吸合。当线圈断电后,电磁的吸力也随之消失,衔铁就会在弹簧的反作用力返回原来的位置,使动触点与原来的静触点(常闭触点)释放。这样吸合、释放,从而达到了在电路中的导通、切断的目的。电路如图3.11所示。 图3.11继电器电路图 3.4.5 红外感应电路 红外线感应单片机产生4000HZ的方波信号,驱动红外发射管发射红外线脉冲。当 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 有手伸到干手器下面时,发射的红外线脉冲被反射回来,由红外接收管接收并转换为电信号,电信号经运放进行信号放大后得到的方波信号送至单片机,从而实现对人体信号的采集。电路如图3.12所示。 图3.12红外感应电路图 3.4.6 电吹风电路 当接到冷风档时,电动机驱动转子带动风叶旋转。当风叶旋转时,空气从进风口吸入,由此形成的离心气流再由风筒前嘴吹出,从而得到冷风。若在电动机接通的基础上电热丝也接通到电路上,装在风嘴中的发热支架上的发热丝变热并由电动机风扇吹出,则吹出的是热风。自动干手器主要就是通过控制电动机和电热丝的开关来实现冷风和热风的选择。电路如图3.13所示。 图3.13电吹风电路图 4 软件设计 4.1 软件设计介绍 本系统软件设计包含一个主程序和一个中断程序,其中主函数包括六个子函数。 4.2 主程序的设计 程序的主函数中包含方波初始化和红外频率检测初始化程序,并根据标志位Flag的状态来执行显示倒计时、设置时间和按键的操作。主程序的流程图如图4.1所示: 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 开始 方波初始化 红外频率检测初始化 Flag==RUNNINGYes显示倒计时 No Flag==SETTIMEYes显示设置时间 No 获得按键值key Key==1YES设置时间减NO NO 图4.1主程序流程图 Key==2YES 设置时间加 4.3 定时中断程序的设计 4.3.1 实现功能 中断程序主要实现了检测接收频率是否符合发射频率,当延时检测接收频率也符合要求时,数码管显示设定时间并倒计时,继电器闭合使得电吹风工作。 4.3.2中断流程图 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 中断入口 Flag==RUNNINGYes计数值加一 倒计时结束 NONo Yes 停止吹风 计数值加一Flag==INVERIFYYesctrlcount++; 确认时间到 YesNo Flag=HAVE_INVERIFY Flag==SETINGTIME Yes计数值加一 显示时间到 No 停止显示设置时间 判断是否有物体Noctrlcount==0No YesYes ctrlcount-- Flag=INVERIFYFlag==START停止吹风 Yes No 开吹风Flag==HAVE_INVERIFY Yes No 频率计数清零 重载定时值 开定时器 退出中断 图4.2中断函数流程图 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 4.3.3 关键技术 单片机定时器/计数器在测量控制系统中,常常需要实时时钟,以实现定时或延时控制;也常常需要有计数器,以实现外界事件进行计数。MSC-52单片机内部有3个16位可编程定时器/计数器T0、T1和T2。可编程其功能(如工作方式、定时时间、量程、启动方式等)均可由指令来设置完成、每个定时器的计数信号来自片内振荡器的12分频信号,即每个机器周期,计数器加1,直至溢出。而计数方式是外部脉冲从引脚t0或t1加入,外部脉冲的下降沿将触发计数器计数,直至溢出。定时器方式寄存器TMOD主要用于选定定时器的工作方式。定时器控制寄存器TCON主要控制定时器的启动与停止。在使用定时器/计数器前,需要对其进行初始化设置,大致步骤如下: a. 确定工作方式(对TMOD赋值)。 b. 预置定时或计数初值(可直接将初值写入TH0,TL0或TH1,TL1)。 c. 根据需要开放定时器/计数器的中断(直接对IE赋值)。 d. 启动定时器/计数器(若已用软件启动(GATE=1),则需要给外加引脚启动电平。 当实现了启动要求之后,定时器/计数器即按规定的工作方式和初值开始计数或定 时)。 定时工作方式有四种,不同的工作方式,计数的位数不同,则最大计数值也不同。若最大计数值为M,则各种方式下M的值如下: 工作方式0 M=2^13=8192 工作方式1 M=2^16=65536 工作方式2 M=2^13=256 工作方式3 T0分为两个8位计数器,所以两个M值均为256.因为定时器/计数器是“加1”计数,并在计满溢出是产生中断请求,因而定时器/计数器的初值也可这样计算: X=M – 计数值„„„„(公式1) 定时器控制字有两个分别为TNOD和TCON 定时器/计数器的方式寄存器TMOD TMOD是一个8位的特殊功能寄存器,对应的地址是89H,不可位寻址。 主要实现三个功能: (1)确定选择定时器还是计数器; (2)选择何种工作方式; (3)是否借用外中断控制定时器和计数器的启停; TMOD的低4位是控制T0的字段(T0——P3.4 定时器/计数器0外部事件脉冲输入端)。 TMOD的高4位是控制T1的字段(T1——P3.5 定时器/计数器1外部事件脉冲输入端)。 M1(TMOD.5),M0(TMOD.4) 用M1,M0来控制定时器/计数器的4种工作方式: 方式0:M1=0,M0=0. 13位定时/计数方式 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 方式1:M1=0,M0=1. 16位定时/计数器 方式2:M1=1,M0=0. 8位初值自动重新装入的8位定时/计数器 方式3:M1=1,M0=1. 仅适用于T0,分为两个8位计数器,T1停止计数 定时器/计时器控制寄存器TCON TCON是一个8位的特殊功能寄存器,对应的地址为88H,可为寻址。 控制字的格式和含义: TF1(TCON.7),TF0(TCON.5)---T1、T0计数溢出标志位 设计的程序用TO计数,T1计时,T0、T1都工作于自启动、方式2。故TMOD=ox15; 本程序需要用10ms的定时,由单片机晶振Fosc=12MHz,所以机器周期T=12*t0=12*1/12MHz)=1us设定定时器T1初始值为X,则:(2^16-X)*1us=10ms 从而可知定时( 器T1初始值X=65536-10000=55536=d8f0H,因而可得到定时10ms计算频率的程序: #define TIMER_H 0xd8; #define TIMER_L 0xf0; 定时计数器T2具有时钟输出方式,当RCLK=TCLK=0,T2OE=1,C/T’=0时,T2处于时钟输出方式,T2的溢出脉冲从P1.0输出,输出脉冲的频率f由下式决定:f=Fosc/[4*(65536-(RCAP2H,RCAP2L))] 本设计需要产生一个20 KHz的方波信号来调制红外线发射,由20×1000=12×1000000?(65536-(RCAP2H,RCAP2L)),得(RCAP2H,RCAP2L)=65386=ff6aH #define RCAP2_H 0xff; #define RCAP2_L 0x6a; 5系统制作及调试 5.1 系统制作 单片机的应用开发可分为以下四个过程: (1)硬件系统设计调试。如电路设计、PCB印制板绘制等。 (2)应用程序的设计。如程序的构思、用软件编写等。 (3)应用程序的调试。对硬件进行在线调试,在调试中不断修改、完善硬件及软件。 (4)系统脱机运行检查。进行全面检查,针对出现的问题修正硬件、软件或总体设计 方案。 5.2 电路板的制作 电路设计好以后,需要用画图软件对电路图经行设计。PCB板的设计也是一个十分重要的内容,在画图中器件的布局要合理,围绕核心元件布局,考虑实际的元件连接,那样设计的电路图才较美观。电路线条的大小要注意,过小的线条腐蚀的时候容易被腐蚀掉。自己第一次画图的时候没有注意焊盘的大小,导致钻孔之后得到的电路板焊接情况不理想。经过改进后得到理想的电路板。 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 5.3 硬件调试 在制作完硬件后,我根据设计的步骤要求我对自己的硬件电路板进行了调试工作,首先是检测器件的好坏,是否正常工作,导线是否导通等。具体的实现方法是先检测电容、电阻及导线是否短接,采用的工具是万用表。在测试的时候发现红外感应电路中的运放器LM358没有工作,经检查是地线没有接好。接着检查各个引脚的信号,给电路接通电源,大概用手摸一下元器件是否发热,有的话,关掉电源,进行再次检测;没有的话,则测试所有芯片的VCC端电压是否达到要求,接地端是否都接地,无误后,则开始对电路中所用到的引脚进行信号波形测试,所使用的工具最好是示波器。在测试中发现红外感应输出的波形不甚理想,经检查是输入方波信号经过电容后波形会上漂,所以在电路中增加了一个上拉电阻,使得输出的波形达到理想状态。最后进行联机调试。调试的时候,采用的是也是部分调试。原来测试的时候能够检测到单片机,但是再次检测时发现电脑检测不到单片机。将检查发现单片机和下载线都没有问题。最后经过逐步排查发现是晶振坏了,通过换好的晶振使得单片机正常工作。 5.4 软件调试 本设计系统的控制程序是采用C51语言编程,编程所采用的软件是Keil uVision3 MCS-51编程软件。关于单片机C语言软件调试,在语句中出现的语法错误,该软件会提示出来,以便于更正。因此,软件调试主要的工作是检查头文件是否正确,是否与单片机的端口地址相匹配。其次,就是检查初始化程序的正确性,根据设计的要求定义正确的初始化程序,为后面程序的执行做好铺垫作用。然后就是根据软件实现步骤逐个检查程序的可行性,以及程序的嵌套性是否都能达到设计的要求。在编译的过程中发现软件找不到T2定时器,经过多次检查是自己漏写了头文件“sfr T2MOD = 0xC9;”经过改正后系统达到了初步预期的结果。在调试的时候发现红外感应误判的情况较严重。经过修改程序,使新的程序能够对红外感应接收到的频率进行再次确认之后才进行相应的操作。使得误判的情况基本消失。 5.5整机调试 整机调试主要是测试按键时候符合要求,接线时候正确等。通过各个模块的、各个功能的测试并改进最终使得设计的系统达到预期的目的。 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 6 结论 经过一个学期的努力,顺利的完成了毕业设计所要求的任务。期间,我收集了大量的关于红外感应和单片机方面的资料,经过比较分析得出此设计方案。 本课题设计的自动感应干手器,硬件方面的主要设计在于主动式红外感应电路和单片机控制电路的设计和制作,然后用电吹风就能实现冷风和热风的功能了。这样就能实现了一个自动干手器的设计。在设计初期过程中,曾遇到过方案选择的问题。原来计划用被动式热释电红外传感器信号处理电路,用信号处理芯片BISS0001芯片和热释电红外传感器(PIR)来感应人体发出特定波长10微米左右的红外线信号。但是在设计该电路图后发现该电路时延的情况严重,然后探头对晃动的人体感应较敏感,当人体不动时,感应人体信号不敏感。综合上面两点考虑,被动式的红外感应电路不符合自动干手器设计所要求的快速、稳定的要求。因而,红外感应模块用主动式的红外对管来感应。而红外对管设计的电路基本不存在时延和稳定性的问题,符合实际的要求。在程序设计过程中发现单片机会自动感应的情况严重,经分析认为是红外感应的误判引起的,经过修改程序进行二次确认后再执行使得误判的情况得到解决。 本设计所制作的基于单片机控制的干手器能够实现自动识别人手的伸进与离开,在人手伸进干手机可工作范围内,干手机工作,手离开则立刻停止工作。一次工作设定时间为15秒。此时间可以通过硬件改变,最大30秒。用数码管显示干手机设定的时间并在干手机工作时显示此次工作倒计时时间,当不工作时不显示任何时间。使用选择开关选择输出冷风或热风,分别满足不同温度天气的使用。 通过毕业设计我不但学到了很多新的知识,而且巩固了原来学过的知识,把所学的东西贯通起来,能够把硬件的知识和软件的知识很好的结合在一起,学会如何使软件与硬件配合工作。还学会了在调试过程中遇到问题的解决方法,验证电路的可行性,对自己以后的学习和工作有很大的好处。 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 谢 辞 不知不觉,为期十六周的毕业设计就要结束了。我的毕业论文也已经整理完毕,电路调试进展顺利,达到了预期的结果。毕业设计的完成意味着我的大学学习生活即将结束,从此我将进入一个新的人生旅途、开始一段崭新的生活和工作。在此,我衷心地感谢所有在我做毕业设计期间帮助过我的人。 首先我要感谢我的指导老师洪莉老师的大力帮助和支持。在整个设计过程当中,洪莉老师在大局上指导我毕业设计的每一进程,还在百忙中抽空为我答疑解难,帮我分析讲解毕业设计中所遇到的问题。不仅如此,洪莉老师还无私的给我提供了丰富的学习资源和良好的学习环境,为我的毕业设计带来了很大方便。同时在我完成毕业设计的过程中提供了很多指导性的意见,使我受益匪浅。另外,洪莉老师渊博的学识、严谨的治学态度和为人给了我很大的教育,这些将使我终身受益。在此,我衷心感谢洪莉老师给予我的帮助和教育。 此外,我还要感谢曾经帮助过我的同学,谢谢他们给予我的无私的帮助。他们的一些建议使得我的毕业设计能够顺利完成并有创新,在此,我真诚地感谢他们。 最后,我要感谢我的母校——桂林电子科技大学,在校期间,这里给我留下了美好的回忆。特别是在我即将踏上工作岗位的同时,毕业设计整个过程给了我这样一个锻炼的机会,使我加深了对以前知识的理解和巩固,拓宽了知识面,也提高了我对所学知识的综合应用能力。我要对母校说:母校有我三五载,我爱母校一万年。祝愿母校能够培养更多对社会有贡献的人才~ 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 参考文献: [1] 李群芳,张士军,黄建.《单片机微型计算机与接口技术》[M]. 北京:电子工业出版社,2005 [2] 李朝青编 单片机原理及接口技术(第3版)[M]. 北京航天航空大学出版社,2005 [3] 李华(单片机原理与接口技术,北京:清华大学出版社,33,80( [4] 祁伟, 杨亭. 单片机C51程序设计教程与实验[M].北京:北京航空航天大学出版社,2006. [5] 谈世哲,胡少宏.电路设计与制版Protel 98[M].北京:人民邮电出版社,1998 [6] 张茂青,吴坚,胡继康等.AVR单片机在新型干手机中的应用[J].江苏电器,2003(03):27,29,38 [7] 张杨.基于EM78P419N单片机的消毒烘干机的设计[J].现代电子技术,2011(01):143,145 [8] Tomas C.Bartee. Computer Architecture and Logic Design. McGraw-Hill Inc.1991 [9] 王卫东, 模拟电子电路基础. 西安: 西安电子科技大学出版社, 2003.2 [10] 阎石,数字电子技术基础(第五版). 高等教育出版社,2006.5. 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 附 录 附录一:单片机控制原理图 附录二:红外感应原理图 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 附录三:单片机控制PCB图 附录四:红外感应PCB图 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 附录五:单片机控制程序 #include sfr T2MOD = 0xC9; #define TIMER_H 0xd8 //定时器1的初值,10ms的定时计算接收频率 #define TIMER_L 0xf0 #define RCAP2_H 0xff; //定时器2的初值,20kHz的红外发射频率 #define RCAP2_L 0x6a; #define FREQUENT_MIN 190 //频率比较范围 #define FREQUENT_MAX 220 #define START 1 #define STOP 2 #define RUNNING 3 #define INVERIFY 4 #define HAVE_INVERIFY 5 #define SETINGTIME 6 #define LEDPORT P0 #define KEYPORT P1 sbit LED_0=P2^1; sbit LED_1=P2^0; sbit Relay_0=P1^3; sbit KEY_0=P1^6; sbit KEY_1=P1^5; sbit KEY_3=P1^4; void Time2_xkHz_inital(); //方波发生初始化 void frequent_test_inital(); //红外频率检测初始化 void Start_timing(unsigned char settime); //开始倒计时 void LED_bit_print(unsigned char num,unsigned char printdata); void LED_all_print(unsigned char printdata); void delayms(unsigned int ms); unsigned char GetKeyboardVal(); volatile unsigned int timecount,settimecounter; volatile unsigned char timesecond,ctrlcount,Flag,settime; 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 unsigned char code LED_tab[]={0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xF8,0x80,0x90}; main() { unsigned char key; Relay_0=1; Flag=START; settime=15; Time2_xkHz_inital(); delayms(2000); frequent_test_inital(); timesecond=0; while(1) { if(Flag==RUNNING) {//显示 LED_all_print(timesecond); } else if(Flag==SETINGTIME) { LED_all_print(settime); } key=GetKeyboardVal(); switch(key) { case 1: { if(Flag!=RUNNING) { if(settime>0)settime--; settimecounter=0; Flag=SETINGTIME; } break; } 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 case 2: { if(Flag!=RUNNING) { if(settime<30)settime++; settimecounter=0; Flag=SETINGTIME; } break; } } } } void T1_interrupt() interrupt 3 using 2 //定时器1中断程序 { unsigned int fre_count; TF1=0; TR1=0; TR0=0; if(Flag==RUNNING) { timecount++; if(timecount==100) { timecount=0; timesecond--; if(timesecond==0) { //停止吹风 Relay_0=1; Flag=STOP; } } } 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 else if(Flag==INVERIFY) { if(ctrlcount<200) ctrlcount++; if(ctrlcount==200) { Flag=HAVE_INVERIFY; } } if(Flag==SETINGTIME) { settimecounter++; if(settimecounter==200) { Flag=START; } } else { fre_count=(256*TH0+TL0); //TH0和TL0为得到的计数值高位和低位。计算出频率 if((fre_count>=FREQUENT_MIN)&&(fre_count<=FREQUENT_MAX)) //如果有接收频率 符合发射频率,说明有物体 if(fre_count>=FREQUENT_MIN) { if(Flag==START) { ctrlcount=140; Flag=INVERIFY; } else if(Flag==HAVE_INVERIFY) { //开吹风 Relay_0=0; Flag=RUNNING; 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 Start_timing(settime);//开始倒计时15秒 } } else { if(ctrlcount==0) { //停止吹风 Relay_0=1; Flag=START; Stop_timing(); } else { ctrlcount--; } } } TH0=0; //清除频率计数值 TL0=0; TH1=TIMER_H; //重装定时器1 TL1=TIMER_L; TR1=1; //开定时器 TR0=1; } void Time2_xkHz_inital() { T2MOD=0x02; //设置定时器2自动外部输出,输出脚一定是P1^0 T2CON=0; RCAP2H=RCAP2_H; RCAP2L=RCAP2_L; 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 EA=1; ET2=0; //关T2中断 TR2=1; } void frequent_test_inital() //红外接收T0初始化 { TMOD = 0x15; //T0计数,T1计时 TH0 = 0; TL0 = 0; //清空T0计数值 TH1=TIMER_H; TL1=TIMER_L; EA = 1; //开总中断 TR0 = 1; //开定时0 TR1 = 1; //开定时1 ET1 = 1; //开T1中断 } void Start_timing(unsigned char settime) { timecount=0; timesecond=settime; } void LED_bit_print(unsigned char num,unsigned char printdata) { switch(num) { case 0:{LED_0=0;LED_1=1;}break; case 1:{LED_0=1;LED_1=0;}break; } LEDPORT=LED_tab[printdata]; delayms(2); LED_0=1; LED_1=1; 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 } void LED_all_print(unsigned char printdata) { printdata%=100; LED_bit_print(0,printdata/10); //十位 LED_bit_print(1,printdata%10); //个位 } void delayms(unsigned int ms) { unsigned char y; while (ms--) { for (y = 0; y < 114; y++) ; } } unsigned char GetKeyboardVal() { unsigned char key,key_temp,key_temp_1; key=0; key_temp=0x70; KEYPORT |= 0x70; key_temp=KEYPORT&0x70; if(key_temp!=0x70) { delayms(8); //防抖延迟 key_temp_1=KEYPORT&0x70; if(key_temp==key_temp_1) { switch(key_temp) { 桂林电子科技大学毕业设计(论文)报告用纸 第1 页 共 33 页 case 0x30: {key=1;break;} case 0x50: {key=2;break;} case 0x60: {key=3;break;} } } } 等待按键抬起 do // { key_temp=KEYPORT&0x70; }while(0x70!=key_temp); return(key); }
/
本文档为【干手器的设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索