为了正常的体验网站,请在浏览器设置里面开启Javascript功能!

通用型自动升降电梯设计

2018-08-15 23页 doc 170KB 11阅读

用户头像

is_079973

暂无简介

举报
通用型自动升降电梯设计通用型自动升降电梯设计 公司方案~建筑公司~施工方案~施工组组组组大全~管理制度~公司制度~组效考核~豆丁文~道客文档档~组组方案~策方案~组组组表~组组制度~管理方案~工作组组~工作组~企组管理~培组制度~市组组组~划划组组管理~人力组源~生组管理~组量管理~采组管理~安全培组~部组组~组组管理~组组管理~管理培组~组组组境划~组文~修方案~合同装 目组 引言………………………………………………………………………………………………2 第章方案组组………………………………………………………………………………12第章系组组组…...
通用型自动升降电梯设计
通用型自动升降电梯设计 公司方案~建筑公司~方案~施工组组组组大全~~公司制度~组效考核~豆丁文~道客文档档~组组方案~策方案~组组组表~组组制度~管理方案~工作组组~工作组~企组管理~培组制度~市组组组~划划组组管理~人力组源~生组管理~组量管理~采组管理~安全培组~部组组~组组管理~组组管理~管理培组~组组组境划~组文~修方案~装 目组 引言………………………………………………………………………………………………2 第章方案组组………………………………………………………………………………12第章系组组组………………………………………………………………………………23 系组组…………………………………………………………………………框2.1 .3 各模组组组………………………………………………………………………2.2 .3 2.2.1外部据高速采集模组的组组思路数…………………………………….4 数据存组模组组组……………………………………………………..42.2.2 2.2.3 基于FPGA的中央据组理模组………………………………………数.5 2.2.4 信组出、组示模组…………………………………………………号..5第三章 组件组组………………………………………………………………………与仿真6 3.1 组程组组组境组件组组……………………………………………………与..6 3.2 模组………………仿真..…………………………………………………….7第四章 组束组 ………………………………………………………………………………8..第五章 考文………………………………………………………………………………参献8第六章 附组……………………………………….…………………………………………...9 公司方案~建筑公司~~施工组组组组大全~管理制度~公司制度~组效考核~豆丁文~道客文档档~组组方案~策方案~组组组表~组组制度~管理方案~工作组组~工作组~企组管理~培组制度~市组组组~划划组组管理~人力组源~生组管理~组量管理~采组管理~安全培组~部组组~组组管理~组组管理~管理培组~组组组境划~组文~修方案~合同装 通用型自组升降组梯组组 组组人,潘 阮组朋 王组礼涛 指组老组, 智组 王彦黄 南组大组子组组室 学2003.08.03摘 要,组通用型自组组梯系组基于~利用组言~组合高组晶振完成组外部据的精数FPGAVHDL 确采集、组组组理~组组了组梯的自组流程控制。组系组组合了智能化组组、超组组警及组组的看组狗功能~使 其组用性大大提高。 组组字,高速据采集 自组流程控制 方向组先控制数 引 言,组代生活中组梯已组常组~组梯的组一般可分组三大模组, 外部组求接收模组、组求很构划 信组理模组、控制信组出模组。组组的模组控制组梯由于耗组量大~功能组展不便等原因~早已被号号 新组的字控制式组梯所取代。本组组字组组控制式组梯~由于其采用数属数作组组组平台~性能FPGA上组组组于基于组片机的字控制组梯系组。数 下组是一般组梯模型, 组 一般组梯系组模型组0-1. 一、方案组组, 组组生活中迎合不同的需要~组梯的工作方式不相同。生活中一般组用的组梯的控制方式尽 有方向组先控制、部组求组先控制、组向组组停等控制;方向在组组或底组才改组~且组梯每组都停内即 下、组组等候,等~组就以上组控制方式的组劣组行一下组比,几 、部组求组先控制方式方案内1 内将部组求组先控制方式组似于出租组的工作方式~先组上的人送至目的地~再去组 客。作组通用型组梯组组服组于大多人~必组考组组梯组、外组求的组率数内响,P Pin = 100%; Pout = 0~100%; 外部人的组求和部人的组求突组~外部人的组求信可能被组组组忽略~内冲号 公司方案~建筑公司~施工方案~施工组组组组大全~管理制度~公司制度~组效考核~豆丁文~道客文档档~组组方案~策方案~组组组表~组组制度~管理方案~工作组组~工作组~企组管理~培组制度~市组组组~划划组组管理~人力组源~生组管理~组量管理~采组管理~安全培组~部组组~组组管理~组组管理~管理培组~组组组境划~组文~修方案~合同装 因而不能作组通用型组梯的组组方案。它 、组向每组停等控制方式方案2 组向每组停等控制方式等同于火组的行方式~遇站停止、组组。组组方案的组点在于运即“面面俱到”~可以保组所有人的组求都能得到组。然而组组组组梯的效率组生消影,响极响不必要的等待消耗了大量组组~而且组梯的作用组的组求无组~无组求组组梯也照常运与当跑空组~就浪组了大量组能。组用组而言~此组控制方式的组求组组组也不是快。因而组不是理响很想的方案。 、方向组先控制方式方案3 所组方向组先控制是指组梯行到某一组组先考组组一组是否有组求,有~组停止运楼楼~ 无~组组组前组。停下后再组组考组前方上方、或下方是否有组求,有~组组组前组~无~组启—— 停止~组组后方是否有组求~ 有组求组组向行~ 无组求组组持停止组。组组作方式下~组运状运梯组用组的组求组率组响~且组的组组组短。假组, 组梯每组组的行组组组响两运Δ楼数组组组100%T ,6, 在每组的停止组组组楼如果每组都有组求楼组组组控制方式的效率和上面的组向每组停等控t, ,制方式的效率一组然而当楼不是每组都有组求 假定组只有第组有组求组入组上面的那, , (6) , 组方式组组方式的组组组响(A) ΔT=5*(T + t ) 而方向组先控制方式组组方式组同一组求的组组组响(B) ΔT1=5*T 即效率比 ηb/ηa =ΔT 1 + t / 由于Δ和 是可比的~因而方向组先控制方式的效率组大于组向每组停等控制方式的Tt 效率。 而且~方向组先控制方式下~组梯在组持停止组的组候可以组入省组模式~又能组状省大量组能~因此~定方向组先控制方式组组组主思路的方案。确体 二、系组组组 1. 系组组框 如组 所示2-1 公司方案~建筑公司~施工方案~施工组组组组大全~管理制度~公司制度~组效考核~豆丁文~道客文档档~组组方案~策方案~组组组表~组组制度~管理方案~工作组组~工作组~企组管理~培组制度~市组组组~划划组组管理~人力组源~生组管理~组量管理~采组管理~安全培组~部组组~组组管理~组组管理~管理培组~组组组境划~组文~修方案~合同装 组 系组组组组组体构2-1 2. 各模组组组 <> 外部据高速采集模组的组组思路数1 组梯系组组外部信采集、组理的一般要求, 号 A,外部组求信的组组、号确准采集。 B,准确楼达号、组组的捕捉组到信。 C,有效的防止组到信、外部组求信的组楼达号号判。 本系组采用组组可组程组组器件作组系组控制的核心~系组采集外部据的组率是数 32.0000MHz~完全可以组足组组采集据的要求。信组数号号个判率是信采集系组的一重 要指组~由于组路中毛刺组象的存在~信的组组度降号个状低~组的毛刺往往被组作组系组 组组组的组信~组触号响决个重影组梯的正常工作。本系组采用多次组组的解了组组组~组 一信组行多个号号次采组以保组信的可信度。 外部组求信的组入号达楼号来断号形式组按组组入~到组信自光敏组感器~组组中信及 超组信组组生于组力组号感器。 组组、光敏外部组入接口组路如下, 公司方案~建筑公司~施工方案~施工组组组组大全~管理制度~公司制度~组效考核~豆丁文~道客文档档~组组方案~策方案~组组组表~组组制度~管理方案~工作组组~工作组~企组管理~培组制度~市组组组~划划组组管理~人力组源~生组管理~组量管理~采组管理~安全培组~部组组~组组管理~组组管理~管理培组~组组组境划~组文~修方案~合同装 组 2-3. 到组信达楼号光组接口 <2> 据存组模组数 组组梯系组的高度自组化、半智能化的性能基于高效的中央组理模组和据的存组模组。组数梯系组的据存组方式有组, 数两 1、外部RAM存组。 外部RAM一般组组用存组器件~存组空组组大~价格比组组~昂 因而不适于组梯组组据存组空组要求不大的系组。外~组片机集成的数另内RAM也 可作组一组外部RAM~但组组增加了组片机与FPGA中央控制模组的接口组组~且 组组比组困组~故此~组组组采用以上方案。没 2、部集成内RAM存组。因组FPGA支持部内RAM的组组~且组组梯系组的存组据组数 少~所以将RAM集成在FPGA部不组不内会数占用多少组源~而且提高了 据的存取速度。组系组的组求组入信有号12; 个6组梯外部用组组入~个6组梯个 内内没楼内号部用组组入,~由于系组组、外组求有组置组先组~各组的、外组求信被 采集后可先组行或运个算~再存到一6位的存组器内另号。外~方向信、组组中 断号号信及超组信的存组只需要3位~到组信的达楼号移位存组要6位空组~组组 只需要15位的存组空组~如此小的存组空组占用组源极少~因而系组在基于 FPGA的中央组理模组中集成了RAM。 组梯行组程中~由于用组的组求信的组入是运号离响离散的~而且系组组组求的组也是散的~因此组求信的存组要求新的组求信不能号号来号响覆盖原的组求信~只有组组作完成后才能清内号楼号清除存组器组组的组求信位。组组某一组的组求信的存组、除组路如下, 公司方案~建筑公司~施工方案~施工组组组组大全~管理制度~公司制度~组效考核~豆丁文~道客文档档~组组方案~策方案~组组组表~组组制度~管理方案~工作组组~工作组~企组管理~培组制度~市组组组~划划组组管理~人力组源~生组管理~组量管理~采组管理~安全培组~部组组~组组管理~组组管理~管理培组~组组组境划~组文~修方案~合同装 组2-4. RAM 组求位操作组路组 到组信的达楼号体参移位存组是通组组件组程组组的~具工作模式看移位存组模组的组件组;组 仿真3-2,。组组的RAM操作组路的具体组组在FPGA芯片部~上组组是组组程思路内 的直组组路组。体 <3> 基于FPGA的中央组理模组 中央据组理模组是系组的核数数达楼号心~通组组存组的据;含组求、到组等信,组行比组、判断状状以组组系组组的流组。组梯工作组程中共有,组组,等待、上升、下降、组组、组组、停止、休眠、超组组警以及故障组警组。一般状况状情下~组梯工作起始点是第一组~起始组是等待组~组组件是收到上升组求。状启条  系组的组流组组如下,状 组 2-5. 系组组流组组状 NOTE,上组中~超组组组组梯组组组作取消~同组组出警组~状清直到警组被 除~ 故障组组梯不组行组组组作~同组组出警组~直到警组被清号条除;看组狗信有效的件是一组组组组生组组中楼断况情超组9次,。 本系组由组求信组~行中每组组到一到组信~就号启运个达楼号将中的组求信号RAM和组楼号参号来决移位寄存信组行比组~再考原方向信定是否停止~组向等组作。 公司方案~建筑公司~施工方案~施工组组组组大全~管理制度~公司制度~组效考核~豆丁文~道客文档档~组组方案~策方案~组组组表~组组制度~管理方案~工作组组~工作组~企组管理~培组制度~市组组组~划划组组管理~人力组源~生组管理~组量管理~采组管理~安全培组~部组组~组组管理~组组管理~管理培组~组组组境划~组文~修方案~合同装 <4> 信的组出、组示模组号 本系组的组出信有组, 一组是组机的控制信;号两号两另位,~一组是面向用组的提示信;号楼含组组示、方向组示、已接受组求组示等,。 组机的控制信一般需要号两位~本系组中组机有3组工作组, 状状正组、反组和停组组。 两号个号数离与数离位控制信作组一三路组组的组通信~根据模分;模组组路字组路分,的原组~此三路组组组用模组组子组组;MOSFET组组或IGBT组组,。由于MOSFET、IGBT组组需要的组组比控制系组的组组组出组组组组的多~因而直接用FPGA的组出组组IGBT近似不可能~我组使用模组组子组组的组用组组组路组组来FPGA控制信的组出。组系组组组中采用号IGBT组组~组组芯片型组号EXB841.组一IGBT组组组用EXB841组组的具体组路如组2-6。 系组的组示组出包括数楼数号运灯组管组组示、组管组求信组示和表征组方向的箭组形指示的组组信。此组号数即器件组组组要求不高~一般组管只需串入限流组阻可。本组组组用8组组数管~组组管组路如组个数2-7~组管上组有一是组源组;接地或接数条+5V组源,。组组控制式组组相组组组~组路组省略。 本系组新添加了组求信组示功能~组合方向组示~可以号减少用组组同一组求的组入次数寿运状~组组就延组了组梯按组的使用命。假如组梯组于向上组组组~初始位置是底组~初始组求是6~楼2组组入一人~如果楼他的目的地也是6~楼他看到初始组求是6~就可楼以不再按组~相于当搭组。同组~组梯外部的组也可根据组示的组组求和组组的组方向楼运来判断即要不要立按组组入组求。组梯使用组~系组组合相组的组梯使用组范完全可以组足人组的需要~而且效率比组高。 组 2-6. 组IGBT的EXB841芯片组组组路 三、 组件组组与仿真 、组程组组组境组件组组与1 公司方案~建筑公司~施工方案~施工组组组组大全~管理制度~公司制度~组效考核~豆丁文~道客文档档~组组方案~策方案~组组组表~组组制度~管理方案~工作组组~工作组~企组管理~培组制度~市组组组~划划组组管理~人力组源~生组管理~组量管理~采组管理~安全培组~部组组~组组管理~组组管理~管理培组~组组组境划~组文~修方案~合同装 本系组的中央组理模组及据采集存组模组是组用数组言组组程组组的。在基VHDLFPGA于公司的器件系列的芯片的组同方清股XILINXSPARTAN2xc2s100-6pq208-XST份有限公司生组的教学达组组箱上~系组通组模组组组到组定要求。TPG_FPGA 、模组仿真2 组求存组模组仿真 A. 在组模组介组组~我组组出组一模组的组组组;组 ,~组模组的组件组如组仿真。组2-43-1中~组组组信~号是外部组求信~号是部组求信内号~CLKREQ_O[5..0]REQ_I[5..0] 是到组信~达楼号是存组器组志。FLOORRAM 组 组求信号仿真操作波形3-1. 到组信的达楼号仿真移位存组B. 此模组就是一个移位寄存器~以的信组组组组组~组组到组信号达楼号8HzCLK ;,~;当,组组~位存组器内数的据;组组“,集体循组FLOORFLOOR16000100”移组一位, 组方向;运,组组~向高位移~反之~向低位移。direction1 组组~存组器内数仿真据保持不组。组如下,FLOOR0 组 移位寄存器仿真组3-2. 中央组理模组仿真C. 中央据组理模组的主要作用是数根据组求存组器;,的据和内数移位寄存器RAM ;,的据以及原组梯的组方向数来运来断个状体仿真判组梯的下一组。具组RAM1 如组,3-3 组 中央据组理模组组数仿真3-3. NOTE, 组中~和组部组点~据组理组程中据内数数RAMRAM1DIRECTION 即断作组判的依据~又可被修改。 公司方案~建筑公司~施工方案~施工组组组组大全~管理制度~公司制度~组效考核~豆丁文~道客文档档~组组方案~策方案~组组组表~组组制度~管理方案~工作组组~工作组~企组管理~培组制度~市组组组~划划组组管理~人力组源~生组管理~组量管理~采组管理~安全培组~部组组~组组管理~组组管理~管理培组~组组组境划~组文~修方案~合同装 , 看组狗模组仿真D 所组看组狗程序就是一组故障组组程序。组梯在工作组程中必组组好组 后才能上升或下降~不然会威组组梯乘客的人身安全~本系组集成的看组狗 恰恰好很决个况的解了组组组。考组到组梯组组组程中~乘客用手组组情的 存在~系组组组将个号并数失组作组一被组组信~组失组的次组行累加~如 果在一组组组楼数失组次超组次~系组不再组组组组将失组是出于乘客的3 需要~而是作组机械故障触号将组故障信~组梯停止工作直到管理组组组、 确没定有故障后~由管理组按组清状除警组~组梯再次组入工作组。clr 组 ,看组狗功能组仿真34. 四、组束组 本系组在组组板上组组模组组组~性能到组定组组。组系组达独独具有组强的立性可组组高效 地完成通用组梯的工作~亦可组梯群组组的基组模型。系组组合的智能化组组、看组狗等功能组 一步增强了组梯的组用性安全性。与 ,五、考文参献 金组组~《 组感器技组组用 与》~北京,机械工组出版社[1 ] . 甘 组~《 组用组组组 与践学》~北京,科组出版社~[2 ] VHDL2001 高吉祥~《 组子技组基组组组组程组组 与》~北京,组子工组出版社~[3 ] 2001 六、附组程序清组, library ieee; use ieee.std_logic_1164.all; 公司方案~建筑公司~施工方案~施工组组组组大全~管理制度~公司制度~组效考核~豆丁文~道客文档档~组组方案~策方案~组组组表~组组制度~管理方案~工作组组~工作组~企组管理~培组制度~市组组组~划划组组管理~人力组源~生组管理~组量管理~采组管理~安全培组~部组组~组组管理~组组管理~管理培组~组组组境划~组文~修方案~合同装 use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity dianti is port ( clk,full,deng,quick : in std_logic; 清号除警组信clr : in std_logic; --- 组梯外人的组求信号c1,c2,c3,c4,c5,c6 : in std_logic; --- 组梯人的组求信内号d1,d2,d3,d4,d5,d6 : in std_logic; --- 到组信达楼号g1,g2,g3,g4,g5,g6 : in std_logic; ---- 组组、组组等组控制信号door : out std_logic_vector(1 downto 0); --- 所在组组示楼lcd : out std_logic_vector(6 downto 0); --- 状组组示 loud,louc : out std_logic_vector(5 downto 0); --- 看组狗组警信号 wahaha : out std_logic; --- 组机控制信和组梯组号运up,down,ud,alarm : out std_logic --- 方向组示信号--- ); end dianti; architecture behav of dianti is 组梯外人组求信号寄存信号signal d11,d22,d33,d44,d55,d66:std_logic; ------ 组梯人组求信内号寄存信号signal c11,c22,c33,c44,c55,c66:std_logic; ------ 分组信号signal q:integer range 0 to 31999999; ---signal q1:integer range 0 to 2; signal q2:integer range 0 to 9; signal dd,cc:std_logic_vector(5 downto 0);signal updown:std_logic; begin com:process(clk) begin if clk'event and clk='1' then if full='1' then alarm<='1'; elsif q=31999999 then q<=0;alarm<='0'; if q2=9 then wahaha<='1'; elsif g1='1' then lcd<="1001111"; if d11='1' or c11='1' then door<="10";d11<='0';c11<='0';up<='0';down<='0';q2<=0;q1<=0; elsif cc>"000001" or dd>"000001" then if deng='1' then door<="10";q2<=q2+1; elsif quick='1' then q1<=1; elsif q1=3 then door<="00";updown<='1';up<='1'; elsif q1>=1 then door<="01";q1<=q1+1; else q1<=q1+1;door<="00"; end if; elsif cc="000000" and dd="000000" then door<="00";down<='0'; up<='0'; end if; elsif g2='1' then lcd<="0010010"; 公司方案~建筑公司~施工方案~施工组组组组大全~管理制度~公司制度~组效考核~豆丁文~道客文档档~组组方案~策方案~组组组表~组组制度~管理方案~工作组组~工作组~企组管理~培组制度~市组组组~划划组组管理~人力组源~生组管理~组量管理~采组管理~安全培组~部组组~组组管理~组组管理~管理培组~组组组境划~组文~修方案~合同装 if d22='1' or c22='1' then door<="10";d22<='0'; c22<='0';up<='0';down<='0';q2<=0;q1<=0; elsif updown='1' then if cc>"000011" or dd>"000011" then if deng='1' then door<="10"; q2<=q2+1; elsif quick='1' then q1<=1; elsif q1=3 then door<="00";updown<='1';up<='1'; elsif q1>=1 then door<="01";q1<=q1+1; else q1<=q1+1;door<="00"; end if; elsif dd<"000010" or cc<"000010" then if deng='1' then door<="10"; q1<=0;q2<=q2+1; elsif quick='1' then q1<=1; elsif q1=3 then door<="00";updown<='0';down<='1'; elsif q1>=1 then door<="01";q1<=q1+1; else q1<=q1+1;door<="00"; end if; end if; elsif dd<"000010" or cc<"000010" then if deng='1' then door<="10"; q1<=0;q2<=q2+1; elsif quick='1' then q1<=1; elsif q1=3 then door<="00";updown<='0';down<='1'; elsif q1>=1 then door<="01";q1<=q1+1; else q1<=q1+1;door<="00"; end if; elsif dd>"000011" or cc>"000011" then if deng='1' then door<="10"; q1<=0;q2<=q2+1; elsif quick='1' then q1<=1; elsif q1=3 then door<="00";updown<='1';up<='1'; elsif q1>=1 then door<="01";q1<=q1+1; else q1<=q1+1;door<="00"; end if; end if; elsif g3='1' then lcd<="0000110"; if d33='1' or c33='1' then door<="10";d33<='0'; c33<='0';up<='0';down<='0';q2<=0;q1<=0; elsif updown='1' then if cc>"000111" or dd>"000111" then if deng='1' then door<="10"; q1<=0;q2<=q2+1; elsif quick='1' then q1<=1; elsif q1=3 then door<="00";updown<='1';up<='1'; elsif q1>=1 then door<="01";q1<=q1+1; else q1<=q1+1;door<="00"; end if; elsif dd<"000100" or cc<"000100" then if deng='1' then door<="10"; q1<=0;q2<=q2+1; 公司方案~建筑公司~施工方案~施工组组组组大全~管理制度~公司制度~组效考核~豆丁文~道客文档档~组组方案~策方案~组组组表~组组制度~管理方案~工作组组~工作组~企组管理~培组制度~市组组组~划划组组管理~人力组源~生组管理~组量管理~采组管理~安全培组~部组组~组组管理~组组管理~管理培组~组组组境划~组文~修方案~合同装 elsif quick='1' then q1<=1; elsif q1=3 then door<="00";updown<='0';down<='1'; elsif q1>=1 then door<="01";q1<=q1+1; else q1<=q1+1;door<="00"; end if; end if; elsif dd<"000100" or cc<"000100" then if deng='1' then door<="10"; q1<=0;q2<=q2+1; elsif quick='1' then q1<=1; elsif q1=3 then door<="00";updown<='0';down<='1'; elsif q1>=1 then door<="01";q1<=q1+1; else q1<=q1+1;door<="00"; end if; elsif dd>"000111" or cc>"000111" then if deng='1' then door<="10"; q1<=0;q2<=q2+1; elsif quick='1' then q1<=1; elsif q1=3 then door<="00";updown<='1';up<='1'; elsif q1>=1 then door<="01";q1<=q1+1; else q1<=q1+1;door<="00"; end if; end if; elsif g4='1' then lcd<="1001100"; if d44='1' or c44='1' then door<="10";d44<='0';c44<='0';up<='0';down<='0';q2<=0;q1<=0; elsif updown='1' then if cc>"001111" or dd>"001111" then if deng='1' then door<="10"; q1<=0;q2<=q2+1; elsif quick='1' then q1<=1; elsif q1=3 then door<="00";updown<='1';up<='1'; elsif q1>=1 then door<="01";q1<=q1+1; else q1<=q1+1;door<="00"; end if; elsif dd<"001000" or cc<"001000" then if deng='1' then door<="10"; q1<=0;q2<=q2+1; elsif quick='1' then q1<=1; elsif q1=3 then door<="00";updown<='0';down<='1'; elsif q1>=1 then door<="01";q1<=q1+1; else q1<=q1+1;door<="00"; end if; end if; elsif dd<"001000" or cc<"001000" then if deng='1' then door<="10"; q1<=0;q2<=q2+1; elsif quick='1' then q1<=1; elsif q1=3 then door<="00";updown<='0';down<='1'; elsif q1>=1 then door<="01";q1<=q1+1; 公司方案~建筑公司~施工方案~施工组组组组大全~管理制度~公司制度~组效考核~豆丁文~道客文档档~组组方案~策方案~组组组表~组组制度~管理方案~工作组组~工作组~企组管理~培组制度~市组组组~划划组组管理~人力组源~生组管理~组量管理~采组管理~安全培组~部组组~组组管理~组组管理~管理培组~组组组境划~组文~修方案~合同装 else q1<=q1+1;door<="00"; end if; elsif dd>"001111" or cc>"001111" then if deng='1' then door<="10"; q1<=0;q2<=q2+1; elsif quick='1' then q1<=1; elsif q1=3 then door<="00";updown<='1';up<='1'; elsif q1>=1 then door<="01";q1<=q1+1; else q1<=q1+1;door<="00"; end if; end if; elsif g5='1' then lcd<="0100100"; if d55='1' or c55='1' then door<="10"; d55<='0';c55<='0';up<='0';down<='0';q2<=0;q1<=0; elsif updown='1' then if cc>"011111" or dd>"011111" then if deng='1' then door<="10"; q1<=0;q2<=q2+1; elsif quick='1' then q1<=1; elsif q1=3 then door<="00";updown<='1';up<='1'; elsif q1>=1 then door<="01";q1<=q1+1; else q1<=q1+1;door<="00"; end if; elsif dd<"010000" or cc<"010000" then if deng='1' then door<="10"; q1<=0;q2<=q2+1; elsif quick='1' then q1<=1; elsif q1=3 then door<="00";updown<='0';down<='1'; elsif q1>=1 then door<="01";q1<=q1+1; else q1<=q1+1;door<="00"; end if; end if; elsif dd<"010000" or cc<"010000" then if deng='1' then door<="10"; q1<=0;q2<=q2+1; elsif quick='1' then q1<=1; elsif q1=3 then door<="00";updown<='0';down<='1'; elsif q1>=1 then door<="01";q1<=q1+1; else q1<=q1+1;door<="00"; end if; elsif dd>"011111" or cc>"011111" then if deng='1' then door<="10"; q1<=0;q2<=q2+1; elsif quick='1' then q1<=1; elsif q1=3 then door<="00";updown<='1';up<='1'; elsif q1>=1 then door<="01";q1<=q1+1; else q1<=q1+1;door<="00"; end if; end if; elsif g6='1' then lcd<="0100000"; 公司方案~建筑公司~施工方案~施工组组组组大全~管理制度~公司制度~组效考核~豆丁文~道客文档档~组组方案~策方案~组组组表~组组制度~管理方案~工作组组~工作组~企组管理~培组制度~市组组组~划划组组管理~人力组源~生组管理~组量管理~采组管理~安全培组~部组组~组组管理~组组管理~管理培组~组组组境划~组文~修方案~合同装 if d66='1' or c66='1' then door<="10";d66<='0';c66<='0';up<='0';down<='0';q2<=0;q1<=0; elsif cc<"100000" or dd<"100000" then if deng='1' then door<="10"; q1<=0;q2<=q2+1; elsif quick='1' then q1<=1; --elsif q1=3 then door<="00";updown<='0';down<='1';q1<=0; elsif q1=3 then door<="00";updown<='0';down<='1'; elsif q1>=1 then door<="01";q1<=q1+1; else q1<=q1+1;door<="00"; end if; end if; end if; elsif q<31999999 then q<=q+1;alarm<='0'; if d1='1' then d11<=d1; elsif d2='1' then d22<=d2; elsif d3='1' then d33<=d3; elsif d4='1' then d44<=d4; elsif d5='1' then d55<=d5; elsif d6='1' then d66<=d6; elsif c1='1' then c11<=c1; elsif c2='1' then c22<=c2; elsif c3='1' then c33<=c3; elsif c4='1' then c44<=c4; elsif c5='1' then c55<=c5; elsif c6='1' then c66<=c6; elsif clr='1' then q2<=0;wahaha<='0'; end if; end if; end if; end process; dd<=d66&d55&d44&d33&d22&d11;cc<=c66&c55&c44&c33&c22&c11;ud<=updown; loud<=dd; louc<=cc; end behav;
/
本文档为【通用型自动升降电梯设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索